EV Group 300mm Wafer Bonding Technology July 16, 2008

Similar documents
Trends in Device Encapsulation and Wafer Bonding

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Thin Wafers Bonding & Processing

NOVEL BONDING TECHNOLOGIES FOR WAFER-LEVEL TRANSPARENT PACKAGING OF MOEMS. Herwig Kirchberger, Paul Lindner, Markus Wimplinger

Metal bonding. Aida Khayyami, Kirill Isakov, Maria Grigoreva Miika Soikkeli, Sample Inkinen

Fraunhofer ENAS Current results and future approaches in Wafer-level-packaging FRANK ROSCHER

3D-IC Integration using D2C or D2W Alignment Schemes together with Local Oxide Reduction

Czochralski Crystal Growth

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

AML. AML- Technical Benefits. 4 Sept Wafer Bonding Machines & Services MEMS, IC, III-Vs.

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Laser Micromachining for Industrial Applications and R&D. 3D-Micromac AG. Symposium on Smart Integrated Systems in Chemnitz. 3D-Micromac AG

SUSS MICROTEC - SEMI MEMS TECH SEMINAR Mailand, 23. September Margarete Zoberbier, Product Manager Bonder

CERN/NA62 GigaTracKer Hybrid Module Manufacturing

Developments in low-temperature metal-based packaging

Tackling the optical interconnection challenge for the Integrated Photonics Revolution

Guénaël RIBETTE. General Director

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Fabrication Technology, Part II

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

EV Group Product Range

Wafer-to-Wafer Bonding and Packaging

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Engineered Substrates

Gold to gold thermosonic bonding Characterization of bonding parameters

3D technologies for integration of MEMS

EECS130 Integrated Circuit Devices

Chapter 2 Manufacturing Process

200mm Next Generation MEMS Technology update. Florent Ducrot

Suss MicroTec. Wafer Bonding Process Manual. Suss MicroTec Applications Group

Scratch Testers. Coating Adhesion, Scratch and Mar Resistance. ::: World Leader in Scratch Testing

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

Chapter 3 Silicon Device Fabrication Technology

Complexity of IC Metallization. Early 21 st Century IC Technology

Fabrication Technologies for Three-Dimensional Integrated Circuits

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques:

Fraunhofer IZM Bump Bonding and Electronic Packaging

MRSI-175Ag Epoxy Dispenser

High Temperature Oxygen Out-Diffusion from the Interfacial SiOx Bond Layer in Direct Silicon Bonded (DSB) Substrates

TSV CHIP STACKING MEETS PRODUCTIVITY

PRESSURE INDICATING FILM CHARACTERIZATION OF PRESSURE DISTRIBUTION IN EUTECTIC AU/SN WAFER-TO-WAFER BONDING

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Platypus Gold Coated Substrates. Bringing Science to the Surface

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

Anisotropic Conductive Films (ACFs)

TGV and Integrated Electronics

NanoSystemsEngineering: NanoNose Final Status, March 2011

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Fabrication Technology, Part I

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Quality in Electronic Production has a Name: Viscom. System Overview

Lessons Learned from SEMATECH s Nanoimprint Program

An Innovative High Throughput Thermal Compression Bonding Process

Enabling Technology in Thin Wafer Dicing

Chips Face-up Panelization Approach For Fan-out Packaging

WATOM.. Wafer Topography Measurement KOCOS AUTOMATION GMBH [ ENG ]

Microfabrication of Integrated Circuits

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Challenges for Embedded Device Technologies for Package Level Integration

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

Electrical and Fluidic Microbumps and Interconnects for 3D-IC and Silicon Interposer

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Fraunhofer IZM Berlin

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward

TED PELLA, INC. Microscopy Products for Science and Industry

L5: Micromachining processes 1/7 01/22/02

Nano-Packaging : Hype, Hope or Happening?

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

300 mm Lithography and Bonding Technologies for TSV Applications in Image Sensor and Memory Products

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Four Inch Anodic Wafer Bonding SOP

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes

Visit

BAK. Evaporator Family

Photolithography I ( Part 2 )

Advanced Polymers And Resists For Nanoimprint Lithography

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

EFFECT OF THERMAL AGING ON THE IMC LAYER BETWEEN SnAgSb SOLDER AND Cu SUBSTRATE. Universiti Kebangsaan Malaysia, 43600, Bangi, Selangor, Malaysia

INTERCONNECT STRUCTURE FOR ROOM TEMPERATURE 3D-IC STACKING EMPLOYING BINARY ALLOYING FOR HIGH TEMPERATURE STABILITY

Development and Characterization of Large Silicon Microchannel Heat Sink Packages for Thermal Management of High Power Microelectronics Modules

ACS300 Gen2. The coat develop solution from pilot to high volume production

Power Electronics Packaging Solutions for Device Junction Temperature over 220 o C

BAK EVAPORATOR FAMILY

Nano-imprinting Lithography Technology І

Lecture #18 Fabrication OUTLINE

1 Thin-film applications to microelectronic technology

CMOS Manufacturing process. Design rule set

Material based challenge and study of 2.1, 2.5 and 3D integration

Doping and Oxidation

5.8 Diaphragm Uniaxial Optical Accelerometer

Transcription:

EV Group 300mm Wafer Bonding Technology July 16, 2008

EV Group in a Nutshell st Our philosophy Our mission in serving next generation application in semiconductor technology Equipment supplier for the semiconductor and MEMS industry 1400+ equipment installations Privately held company founded in 1980 Headquartered in Austria - subsidiaries in USA, JP, KR and TW Worldwide Sales and Customer Support Network Internal process development (2000m 2 class 100 cleanroom) 20% of revenue is invested into R&D annually

EVG core competences GEMINI IQ Aligner NanoSpray Coater DUV Exposure Courtesy of CEETAM TSV: Coating of highaspect ratio vias with dielectrics or photoresists

Innovations in Wafer Bonding 1990 First EVG Wafer Bonder with Process Separation Align - Bond 1995 1997 1999 First 150mm Bonding System with top and bottom side heating First Bonder with 40kN Piston Force First 200mm Bonding System; Introduction of SmartView Technology 2000 Double-side water cooling; First UV Bond System with optical alignment 2002 First 300mm Bonding System 2003 First system for LowTemp plasma activation 2003 First Chip-to-Wafer System with controllable center of gravity 2005 Second generation (IS) double-sided water cooling system 2005 First Bonder with 60kN Piston Force 2008 First 300mm production bonding system Third generation 100kN double-sided water cooling system SmartView NT Aligner

Wafer bonding for 3D integration Cu/Cu SOLID process Top wafer Courtesy of Courtesy of INFINEON Technologies Cu/BCB Via-First BCB BCB SiO2 Cu Fusion bonding 1 µm SiO2 Courtesy of Si LowTemp plasma activation

Wafer Bonding Process Separation Principle

SmartView Aligner Face-to-face wafer alignment with alignment keys in bond interface Patented Technology Integration with production wafer bonding system GEMINI Product development history 1 st 200mm Systems installed in Field 2000 1 st 300mm Systems installed in Field 2002 1 st GEMINI production bonder 2002 1 st GEMINI with Cleaner 2003 1 st GEMINI with LowTemp Plasma Activation 2004 1 st GEMINI for Transparent Packaging 2005 1 st GEMINI contained in N2 environment 2006

SmartView Aligner Working Principle To p Side Objective Bottom Wafer Alignment in contact plane Locate bottom wafer alignment marks with top objectives Lock microscope position Store bottom wafer position Top Wafer Bottom Side Objective Align top wafer to bottom objectives No microscope movement No re-focussing Positioning System Bring wafers in contact Restore bottom wafer position Contact plane = alignment focal plane No blind movement

Analysis of contributions to alignment error 1. Translational misalignment 2. Rotational misalignment 3. Run-out Thermal expansion mismatch between top and bottom wafer

Post bond metrology Electrical vernier structures generate alignment vector plots NPCQ2B4785 wafer B6983080SEE1 tested 20060827 0, 3 Statistical process control Shift Rotation Run-out 2, 2-100 -100-100 -100-100 -100-100 2, 2-100 1, 2 1, 2 1, 2 1, 2 RMS misalignment 3.2 um Average offset code 0.4, 2. 90% valid 81% X valid 100% Y valid 1, 2 1, 2 1, 2 1, 2 Greyed arrows and values of 99 or -99 indicate that one or both tests gave invalid results at that position. A grey arrow is drawn if one test was valid and so incomplete direction Analysis of impacting factors Bond process parameters 2, 1 2, 0 2, -2 2, -3 1, -4 information is available. A grey open circle is drawn if both tests were invalid and no direction information is available. NPCQ1A4613 wafer B7024096SEH2 tested 20060804 Bond layer (Reflowing material, surface properties,..) 0, 1 0, 1 0, -1 0, -2 0, -3 1, -4-2000, -1000 RMS misalignment Technical implementation GEM300, SECS II/GEM Wafer ID Bondtool ID Bondchamber ID,.. -1, 1-2, 1-3, 1-1, 0-2, 0-4, 0-4, 0-5, 0-1, 0-2, -1-3, -1-4, -1-5, -1-1, -2-2, -1000-3, -2-4, -3-5, -4 0, -3-2, -3-3, -3-4, -4-5, -4 0, -4-2, -4-3, -4-4, -4-1, -6-2, -6-3, -6 5.6 um Average offset code -1.7, -2. 96% valid 98% X valid 95% Y valid Greyed arrows and values of 99 or -99 indicate that one or both tests gave invalid results at that position. A grey arrow is drawn if one test was valid and so incomplete direction information is available. A grey open circle is drawn if both tests were invalid and no direction information is available.

Bonding Methods / Alignment Capability Alignment System Capability Alignment Method Alignment Accuracy at 3 Sigma Transparent Wafer +/- 0,5 µm Backside Alignment +/- 2µm SmartView Face-to-Face Alignment +/- 1,3 µm Post Bond Alignment Accuracy - Add to Alignment System Capability Bonding Technology Remark Alignment Accuracy at 3 Sigma Anodic Bonding Optimum Contrast Metalized Alignment Key, 4" Wafer, CTE +/- 1µm matched bond glass Glass Fritt Bonding ** 10µm screen printed glass fritt, Ferro 11-036, compressed to 4-6µm during bonding process, 150mm or 200mm +/- 5µm Wafer Polymer Thermo Compression Bond Thin spin coated adhesive (<1µm), 150 or 200mm Si-wafer +/- 0,6µm Fusion Bonds (Si + Si, SiO2+SiO 2 ) +/- 0,4 µm Metal Intermediate Layer Thermo Compression Bond Thin (<2µm) metal layer to form an eutectic bond or metal to metal fusion bond. (such as: Au-Si, Cu-Cu...) +/- 0,6µm ** Also applicable to other thick (>5µm) and reflowing intermediate layers.

Roadmap for post-bond alignment accuracy ITRS Roadmap ITRS 2007 High Density Through Silicon via Draft Specification (2007-2011) EVG Roadmap

Design of SmartView NT

SmartView - NT (Nano Align Technology) Next Technology Generation New Basic Set-up High stiffness and perfect surface preparation New base frame (welded) New additional Nano Alignment Stage Nano steps do- and controllable Compensate misalignments during z-movements New Measurement Systems Laser System Permanent control of movement during alignment No blind movement anymore Continuous improvement program over 5 years

Optical System Improvements for ITRS roadmap 1. Optics: Improved microscopes Image quality, resolution, stability 2. In-situ optic calibration Alignment accuracy independent from mechanical setup 3. Pattern recognition: based on synthetic patterns Alignment accuracy independent from pattern variation 4. Signal processing: Digital cameras No disturbances from environment, higher signal-to-noise 5. Statistical Process Control: GEM300, SECS II/GEM

Precision Alignment Stage High precision alignment Stage allows movement in X, Y and φ direction Technical Data X: ± 13 µm Y: ± 13 µm Φ: ± 0.08 Min. controllable step: 8 nm Compensate misalignment due to kneeling of tables and/or during movements in Z direction Integrated Measurement System with highest Resolution (nm)

300mm production wafer bonding systems EVG560 Up to 4 bond chambers Center-to-center wafer alignment Cassette-to-cassette operation EVG Gemini Combines the EVG560 with the SmartView aligner Optical alignment Integration of pre-processes for wafer bonding Cleaning Plasma activation Adhesive coating

Wafer bonding for 3D integration Bonding methods Fusion bonding Thermocompression bonding Metal-metal Dielectric bonding e.g. BCB Hybrid methods Fusion + Cu BCB + Cu Decision criteria Integration scheme Surface properties (flatness, roughness) Alignment accuracy Throughput Ziptronix Direct Bond Interconnect (DBI ), Courtesy of Ziptronix Metal/Adhesive 3D Bonding; Courtesy of RPI

Bonding methods for 3D integration Room Temperature pre-bond Fusion bonding Highest alignment accuracy No thermal expansion mismatch Highest throughput Batch annealing Tough surface specs High temperature bonds Thermocompression bonding Easier manufacturing schemes Moderate surface specs Pixel Cross-Section of Functional 3D-integrated, 3-tier APD Focal Plane; Courtesy of MIT Lincoln Laboratory, presented at 2006 ISSCC. CMOS SOI BCB Si Courtesy of Freescale Source: P. Morrow et. al, IEEE Electron Device Letters, Vol. 27, No. 5, May 2006, pp335

Comparision 3D Bonding Processes SmartView Aligned Cu-Cu BCB SiO 2 Most Promising Roadmap

Comparision 3D Bonding Processes SmartView Aligned SiO 2 bonds are ~35% more accurate today, have best potential for further improvement and provide a 10x faster process. SiO 2

LowTemp Plasma Bonding for 3D Integration Fusion wafer bonding is a 2-step process 1. Room temperature pre-bond 2. High temperature annealing Traditional fusion bonding methods require annealing temperatures of 800-1100 C. LowTemp plasma activation enables reduction of annealing temperature down to 200-400 C. Fusion wafer bonding is ideally suited for 3D integration. Pre-bonding at room temperature enables highest alignment accuracy Bond quality inspection prior to annealing rework possible Low manufacturing costs due to batch annealing Metal ion and particle free bonding Si/CMOS 200mm wafers bonded with plasma activated wafer bonding and annealed at 300 C.

GEMINI the modular production platform Plasma Chamber SmartView Aligner EVG560 with 4 Bond Chambers Integration of cleaning chamber LowTemp plasma activation SMIF / FOUP Interface GEMINI for transparent packaging: Coating of adhesives UV bond module Wafer-to-Wafer Bonding

Summary EVG SmartView NT Newest generation of wafer bond aligner Highest flexibility for substrate properties Established alignment principle, but new design Roadmap 2009: Oxide bond (300mm) 0.3µm (3σ) Cu-Cu Bond (300mm) 0.9µm (3σ) EVG Gemini Cassette-to-cassette production wafer bonding system Integration of pre-bond process modules Plasma activation Wafer cleaning Supports all bonding methods and materials Polymer based thermo compression bonding Metal thermocompression bonding Oxide bonding

Questions? For further discussions please do not hesitate to contact me. Thorsten Matthias Director of Technology, EVG North America Tel.: +1 602 549 8103 Email: t.matthias@evgroup.com Semicon West 2008 Booth 5429 North Hall

EV Group