POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Similar documents
Evaluation of Copper CMP Process Characterization Wafers

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Understanding and Reducing Copper Defects

AVS CMP Users Group Meeting

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

CMP Scratches; Their Detection and Analysis on Root Causes

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Post-CMP Cleaning: Interaction between Particles and Surfaces

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Czochralski Crystal Growth

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

SLURRY FORMULATION OPTIONS

NSF Center for Micro and Nanoscale Contamination Control

1.1 Background Cu Dual Damascene Process and Cu-CMP

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

AUTOMATED CO2 COMPOSITE SPRAY CLEANING SYSTEM FOR HDD REWORK PARTS

Fabrication of sub-100nm thick Nanoporous silica thin films

Advanced STI CMP Solutions for New Device Technologies

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Integration Issues with Cu CMP

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Hybrid BARC approaches for FEOL and BEOL integration

X-ray Photoelectron Spectroscopy

Post CMP Defects; Their Origin and Removal

2009 Market Slurries and Particles in CMP & a Bit Beyond

A Nano-thick SOI Fabrication Method

4th Annual SFR Workshop, Nov. 14, 2001

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Introducing Advanced PCMP Cleaning Solutions

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

Lecture #18 Fabrication OUTLINE

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

United States Patent (19)

Copper Interconnect Technology

Copyright Fujimi Corporation 2013

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

NCAVS CMPUG Meeting July 16, 2009

CMP Applications and Issues Part 3

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Evolution and Revolution of Cerium Oxide Slurries in CMP

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

The History & Future of

The Effect of Inhibitors on the Electrochemical Deposition of Copper Through-silicon Via and its CMP Process Optimization

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

Notable Trends in CMP: Past, Present and Future

Fixed Abrasives and Selective Chemistries: Some Real Advantages for Direct STI CMP

ION-IMPLANTED PHOTORESIST STRIPPING USING SUPERCRITICAL CARBON DIOXIDE

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

Alternatives to Aluminium Metallization

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

Photolithography I ( Part 2 )

Fabrication Technology

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

Isolation of elements

CLEANING AND SURFACE TREATMENTS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Cu/low κ. Voids, Pits, and Copper

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

MICROCHIP MANUFACTURING by S. Wolf

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

IC Fabrication Technology Part III Devices in Semiconductor Processes

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Optimized CMP of ULK Dielectrics

ALD Film Characterization Rachel Brown 5/13/14

TED PELLA, INC. Microscopy Products for Science and Industry

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

CMP challenges in sub-14nm FinFET and RMG technologies

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Surface Micromachining

2008 Summer School on Spin Transfer Torque

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu

Semiconductor device fabrication

CMP Defects and Evolution of PCMP Cleans

200mm Next Generation MEMS Technology update. Florent Ducrot

UV15: For Fabrication of Polymer Optical Waveguides

Passivation of Copper During Chemical Mechanical Planarization

Introduction to Cleanroom

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

BPS Surfactant-Formulated, Multifunction Dicing Solutions

Fabrication and application of high quality diamond coated. CMP pad conditioners

GENERATION OF POWER MEGASONIC ACOUSTIC WAVES IN SUPERCRITICAL CO 2

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Simple Cubic Crystal

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Advanced Process Control in Megasonic-Enhanced Pre-Bonding Cleaning

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Transcription:

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING Souvik Banerjee, Andrea Via and Harlan F. Chung EcoSnow Systems Inc. Livermore, CA Robert Small and Cass Shang EKC Technology Inc. Hayward, CA ABSTRACT This paper describes the use of a hybrid cleaning consisting of a combination of CO 2 cryogenic cleaning technology with conventional wet cleaning for post chemical mechanical polishing (CMP) cleaning at 0.13 µm technology node and lower. Following CMP, the aqueous enhanced cryogenic cleaning is performed to remove the sub 0.3 µm particles from surfaces with greater efficiency than is presently possible with wet cleaning only. The films investigated in this work were TEOS, Coral, SiLK and SiC. The TEOS wafers were polished with Cerria slurry while colloidal silica slurry was used to polish the other wafers. Post CMP cleaning of the wafers consisted of wet cleaning, followed by spin rinse drying and CO 2 cryogenic cleaning. The results indicate that more than 50% of the light point defects (LPD) larger than 0.2 µm left after aqueous cleaning and drying were removed by cryogenic cleaning. There was no change in bulk or surface chemical properties of the two low k films investigated as measured by FTIR and XPS. The film roughness, measured by AFM, did not show an increase. Cryogenic cleaning was therefore found to enable aqueous cleaning in removing slurry particles while maintaining the critical film properties.

I. INTRODUCTION Global planarization of dielectrics and metals is best done by CMP with the aid of slurries. The post CMP cleaning requirements include: 1) removal of chemical additives which are part of the slurry composition such as organic acids, corrosion inhibitors, passivators, and surfactants, 2) the chemical reaction by-products of CMP, and 3) the slurry particles. All of these contaminants must be removed prior to the next step in the IC fabrication process. The current cleaning method in post CMP is aqueous based wet cleaning which adequately addresses first two of the three cleaning requirements outlined above. However, it is limited by the boundary layer thickness and the reduced wetting of hydrophobic films in removing small particles. The boundary layer due to fluid flow can be reduced by the use of acoustic excitation, however, the reduction in the boundary layer even with the addition of megasonics is insufficient for effective removal of sub 0.3 µm particles such as those of the slurry [1,2]. Hence, to effectively remove the small slurry particles after CMP, a new form of cleaning is investigated, not constrained by the boundary layer thickness of the cleaning fluid or its surface tension, to augment the wet cleaning for complete and effective post CMP cleaning. Carbon dioxide (CO 2 ) cryogenic is one such cleaning technology which if used in conjunction with wet cleaning, can address all the cleaning requirements for post CMP cleaning, and especially remove the particulate contamination with greater efficiency than is possible with the conventional wet cleaning only. II. MECHANISM OF CRYOGENIC CLEANING In cryogenic cleaning liquid CO 2 at a pressure of 850 psi and 25C from a purified source is made to expand through a specially designed nozzle intended to make the expansion a constant enthalpy process. The expansion of liquid CO 2 through the nozzle creates solid and gaseous CO 2 in a highly directional and focused stream. There are three mechanisms by which surface cleaning is done: 1) momentum transfer by the cryogenic particles to overcome the force of adhesion of slurry particle to wafer

surface, 2) drag force of gaseous CO 2 to remove the dislodged particle off the surface of the wafer, and 3) the dissolution of organic contaminants by liquid CO 2 formed at the interface of the cryogenic particle and the wafer surface. The flow of gas over the wafer surface creates a boundary layer through which the cryogenic particles have to travel to arrive at the wafer surface and the contaminant particle to be removed. During the flight through the boundary layer, their velocity decreases due to the drag force on them by the gaseous CO 2 in the boundary layer. The relaxation time is given as: τ = 2r 2 ρ p C c (1) 9η where: r is the radius of the cryogenic particle ρ p is the density of the cryogenic particle η is the viscosity of the CO 2 gas C c is the Cunningham slip correction factor The velocity of a cryogenic particle decreases to 36% of the initial velocity if it takes a time equivalent to one relaxation time [3-5] to cross the boundary layer. The relaxation time increases for larger cryogenic particles, implying, that they can cross the boundary layer with greater fraction of their initial velocity. It has been shown in [4] that based upon this physical model of cryogenic cleaning, the boundary layer does not pose a limit on the efficiency of sub-micron and nano particle removal in CO 2 cryogenic cleaning. III. EXPERIMENTAL DESCRIPTION Blanket TEOS, SiLK, Coral, and SiC wafers were first scanned using KLA- Tencor s SP1 to determine their quality. Wafers without scratches were chosen for these experiments and polished on an IPEC polisher. The TEOS wafers were polished using EKC STI2100 Cerria slurry as would be used in shallow trench isolation (STI) fabrication process. The BEOL films Coral, SiLK and hardmask SiC were polished

using colloidal silica MicroPlanar CMP 9000 series slurry from EKC Technology. Following polishing, the TEOS wafers were cleaned in a single wafer SSEC cleaner with a megasonic wand while the Coral, SiLK and SiC wafers were cleaned in a two station brush assisted OnTrak cleaner. Some wafers were cleaned with basic cleaner LPX-100, from EKC Technology, while others with DI water only. The wafers were then dried in a spin rinse dryer after which they were measured for particles using the SP1. Cryogenic cleaning with ATS EcoSnow s WaferClean1600 was next done, four to thirty hours after drying the wafers. The wafers were then measured for surface particle using the same recipe as the pre-measurements, from which the particle removal efficiency was determined. Film characterization of SiLK, Coral, and SiC were also done to determine the impact of the cryogenic cleaning on film structure, surface film composition and roughness. The section below describes the results of these experiments. IV. RESULTS AND DISCUSSIONS Figures 1 and 2 below show the cleaning efficiency for TEOS wafers polished with Cerria slurry. The six wafers in figure 1 following polishing were cleaned using LPX-100 whereas those in figure 2 were cleaned only with DI water with no other chemicals added to it. An average of 82% of the LPD s left after aqueous clean with LPX-100 and dry was removed by cryogenic cleaning. In three of the six wafers the removal efficiency was greater than 90% and final LPD count of as low as 21 was obtained on the wafer surface. The DI clean sequence in figure 2 indicated that on an average of 98% of LPD s left after the aqueous clean and spin rinse dry was removed by cryogenic cleaning.

LPD Counts >= 0.17 um 1200 1000 800 600 400 200 0 54.2 79.2 93.3 94.4 94.8 74.5 Removal Efficiency (%) Aqueous Clean Aqueous + Cryogenic Clean Figure 1. Cerria particles on TEOS before and after cryogenic clean in LPX- 100 aqueous and cryogenic clean sequence LPD Counts >= 0.17 um 18000 16000 14000 12000 10000 8000 6000 4000 2000 0 96.4 98.2 98.8 97.8 98.1 97.8 Removal Efficiency (%) Aqueous Clean Aqueous + Cryogenic Clean Figure 2. Cerria particles on TEOS before and after cryogenic clean in DI water aqueous and cryogenic clean sequence Figures 3 and 4 show the results of polishing and cleaning Coral, a carbon doped oxide low k film, and SiLK, a spin-on organic dielectric film using the aqueous and cryogenic cleaning sequences. All three Coral wafers showed reduction of greater than 58% of LPD, by CO 2 cryogenic cleaning, left after the aqueous cleaning and drying. The SiLK wafers in figure 4 had very high, >35,000, LPD on them after unoptimized aqueous cleans consisting of DI water and LPX-100 with brush assistance. It is believed that many of these defects were a result of water stains formed during drying of hydrophobic films. The cryogenic cleaning was able to remove more than 62% of these defects. Thus more than half of the defects left behind after an aqueous cleaning can be removed by cryogenic cleaning even when the cryogenic cleaning is preceded by spin rinse drying and is done in some instances 30 hours after the aqueous clean and dry.

1600 40000 1400 35000 LPD Counts >= 0.2 um 1200 1000 800 600 400 LPD Counts >= 0.2 um 30000 25000 20000 15000 10000 200 5000 0 60.2 52.3 54.7 Removal Efficiency (%) 0 66.8 62.0 Removal Efficiency (%) Before Cryogenic After Cryogenic Before Cryogenic After Cryogenic Figure 3. Silica particles on Coral before Figure 4. Silica particles on SiLK before and after cryogenic clean in an aqueous and and after cryogenic clean in an aqueous cryogenic cleaning sequence. and cryogenic cleaning sequence. Measurements on both spin-on organic SiLk and CVD deposited CDO Coral wafers were done to determine any changes in bulk and surface film properties. Figures 5 and 6 show the FTIR measurement results on Coral and SiLK wafers respectively. There appeared to be no change in the spectrum for both types of low k films before and after cryogenic cleaning. Thus the FTIR measurements indicated no change in the bulk properties of the film as a result of the cryogenic cleaning. The surface characterization of SiLK film was done using XPS to determine if chemical change has taken place due to the cryogenic cleaning. The results indicate that there were only two elements Carbon and Oxygen detected on the film surface. The atomic composition of Carbon was 97.96% +/- 0.14% before cryogenic cleaning and 97.86% +/- 0.12% after, or no significant difference at 95% confidence level. The Oxygen atomic compositions before and after cryogenic cleaning were 2.04% +/- 0.14 and 2.14% +/- 0.12%, respectively, again indicating no significant difference. High energy XPS of the Carbon and Oxygen peaks showed no shift in their positions before and after cryogenic cleaning indicating no change in the bond structure. Thus neither

the elemental composition nor the chemical bonding changed as a result of cryogenic cleaning. 0.25 0.65 Absorbance 0.20 0.15 0.10 Absorbance 0.6 0.55 0.5 0.45 0.4 0.05 0.35 0.3 0.00 4000 3000 2000 1000 0 Wavenumber (1/cm) As Received After Aqueous and Cryogenic 0.25 3350 2350 1350 350 Wavenumber (1/cm) Before Cryogenic After Cryogenic Figure 5. FTIR spectra of Coral film Figure 6. FTIR spectra of SiLK Figure 7 shows the results of polishing and cleaning of CMP hardmask SiC film. The blanket SiC wafer was polished using silica slurry after which it was wet cleaned and dried following which it was further cleaned cryogenically. The results indicate that 68.2% of the LPD larger than 0.2 µm left on the wafer surface after the aqueous clean and dry were removed by the cryogenic cleaning. The roughness of SiC was also measured using AFM to determine if the cryogenic cleaning process induced surface roughness of the film. Figures 8 and 9 show the 10 µm x 10 µm AFM scans of the SiC as-deposited and after the hybrid aqueous and cryogenic cleaning respectively. The RMS roughness of the as-deposited film measured at the center was 1.35 A while the film after cleaning had a roughness of 0.96A.

10000 9000 8000 Before Cryogenic After Cryogenic LPD Counts >= 0.2 um 7000 6000 5000 4000 3000 2000 1000 0 69.6 66.3 68.6 Removal Efficiency (%) Figure 7. Silica particles on SiC before and after cryogenic clean in an aqueous and cryogenic cleaning sequence. Figure 8. AFM of as deposited SiC film Figure 9. AFM of SiC after aqueous and cryogenic cleaning V. SUMMARY Post CMP cleaning consisting of a combination of conventional aqueous cleaning with CO 2 cryogenic cleaning results in better cleaning than aqueous. Cryogenic cleaning was seen to remove more than half the LPD, greater that 0.2 µm,

left after the aqueous clean and dry from hydrophilic and hydrophobic films used in the integrated circuit manufacturing process. This was possible even when the wafers were dried and significant time elapsed prior to the cryogenic cleaning. Thus a sequence of aqueous and CO 2 cryogenic cleaning should be investigated for post CMP cleaning for device fabrication at 130 nm technology and lower. VI. Bios Souvik Banerjee, Ph.D. is the Chief Scientist at ATS EcoSnow Systems Inc. where he is responsible for directing the R&D of the company in the area of surface preparation in integrated circuit manufacturing process. Before joining EcoSnow, he was a Senior Process Engineer at Novellus Systems working on development of advanced surface preparation in semiconductor device fabrication. His work involved development of new cleaning technologies for back-end-of-line post etch residue removal applications. Prior to joining Novellus, he was a Research Engineer at Komag where he worked on post- CMP cleaning, evaluation of cryogenic cleaning for removal of polymeric particles, and process control. His Ph.D. research were in the areas of particulate charging, transport of charged particles, and particle adhesion to surfaces. He received the Maurice K. Testerman award in 1994 given for outstanding research from the University of Arkansas from where he obtained his Ph.D. He has authored several papers on post CMP cleaning, particle charging, and adhesion of particles to surfaces. He has filed 5 patents on supercritical fluid cleaning development and 3 on cryogenic cleaning. He taught the UC Berkeley Extension Cleaning Technology for Integrated Circuit Manufacturing course in 2001 along with other professionals from the semiconductor industry. Harlan F. Chung is a senior application scientist at Eco-Snow Systems, where he is responsible for the development, design, and application of CO 2 cleaning processes for themr head and semiconductor industries. He has 30 years of experience in the fields of semiconductor materials growth and process development. The author of 20 plus articles

on materials growth and process development and the holder of three patents, he received his BA in biochemistry from the University of California, Berkeley. Andrea Via is an applications engineer at ATS EcoSnow Systems Inc. where she is responsible for the development, design, and application of CO 2 cleaning processes for the MR head and semiconductor industries. Before joining EcoSnow, she was a Process Engineer at JDS Uniphase working on development of cleaning lines and inspection criteria for optical filters. Prior to joining JDS Uniphase, she was a Process Engineer at Sola Optical, where she was responsible for the implementation, process control, and process transfer of the hardcoating lines used to coat eyeglass lens. She received a BS degree in Chemistry from the University of California, Davis. Robert J. Small, Ph.D., is the technical director of the CMP group at EKC Technology. He is involved in developing new chemistries for post CMP cleaning, CMP chemistries and post etch residue removal. Bob has BS from Norwich University, an MS from Texas Tech University, and a Ph.D. in organic photochemistry from the University of Arizona. He received the first Technical Catalyst Award from First Chemical Corp in 1994. He has authored or co-authored nearly 100 articles and presentations including BEOL, post clean treatment, post CMP and CMP processes. He holds more than nineteen U.S. and foreign patents and has ten submitted U.S. patent applications. (Small can be reached at 510/784-5846, bsmall@ekctech.com). Cass Shang, has been an R&D chemist at EKC Technology since 1998. Her research focus for the R&D CMP group is developing new CMP slurries and optimizing CMP processes. She has also worked on copper contamination control during the CMP process. Shang has a BS in chemical engineering from Beijing University, an MS in environmental and surface analysis from University of Colorado. She has coauthored 12 papers related to metal CMP and post CMP cleaning. (Shang can be reached at 510/670-1478, Cass_Shang@ekctech.com).

VI. REFERENCES [1]. V B. Menon and R. P. Donovan, Handbook of Semiconductor Wafer Cleaning Technology, Ed. W. Kern, (1993) [2]. A. Busnaina, Surface cleaning with Emphasis on FEOL and BEOL Cleaning,(1998) [3]. C. Toscano and G. Ahmadi, Journal of Adhesion (2002 in press) [4]. S. Banerjee, H.F.Chung, R. Small, and C. Shang, ECS Fall 2002 Proceedings (in press). [5]. S. Banerjee and H. F. Chung, Post CMP Cleaning of Semiconductor Wafer Surfaces Using A Combination of Aqueous and CO 2 Based Cleaning Techniques, US Patent filed, August 2002.