Post-CMP Cleaning: Interaction between Particles and Surfaces

Similar documents
The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

Post CMP Defects; Their Origin and Removal

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

CMP Scratches; Their Detection and Analysis on Root Causes

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Chemical Mechanical Planarization

SLURRY FORMULATION OPTIONS

1.1 Background Cu Dual Damascene Process and Cu-CMP

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Effect of temperature on copper chemical mechanical planarization

NCAVS CMPUG Meeting July 16, 2009

Role of amino-acid adsorption on Silica and Silicon Nitride surfaces

4th Annual SFR Workshop, Nov. 14, 2001

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

A Nano-thick SOI Fabrication Method

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Glycolic acid in hydrogen peroxide-based slurry for enhancing copper chemical mechanical polishing

Non-Conductive Adhesive (NCA) Trapping Study in Chip on Glass Joints Fabricated Using Sn Bumps and NCA

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Preparation and Polishing Properties of Spherical Porous Silica Abrasive

CMP Defects and Evolution of PCMP Cleans

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

INTEGRATED-CIRCUIT TECHNOLOGY

Integration Issues with Cu CMP

Optimized CMP of ULK Dielectrics

Evaluation of Copper CMP Process Characterization Wafers

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Effects of Slurry Particles on Silicon Dioxide CMP

Advanced STI CMP Solutions for New Device Technologies

Copyright Fujimi Corporation 2013

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Almost Complete Removal of Sub-90 nm Ceria Particles from Silicon Dioxide Surfaces Jihoon Seo, Akshay Gowda, and S.V. Babu

Alternatives to Aluminium Metallization

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

Characterization of Non-Amine-based Post-Copper Chemical Mechanical

Fabrication of sub-100nm thick Nanoporous silica thin films

CMP challenges in sub-14nm FinFET and RMG technologies

Czochralski Crystal Growth

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles

Author(s) In-Kwon Kim, Y. Nagendra Prasad, Tae-Young Kwon, Hyuk-Min Kim, Ahmed A. Busnaina, and Jin-Goo Park

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

Effect of Oxidizer on the Galvanic Behavior of Cu/Ta Coupling during Chemical Mechanical Polishing

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

The History & Future of

The Effect of Fillers in Nonconductive Adhesive on the Reliability of Chip-on-Glass Bonding with Sn/Cu Bumps

Fabrication and application of high quality diamond coated. CMP pad conditioners

Simple Cubic Crystal

Ultrasonic Micromachining in the fabrication of MEMS Micro-sensors

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

CMP Solutions for the Integration of High-K Metal Gate Technologies

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

2009 Market Slurries and Particles in CMP & a Bit Beyond

Slurry concentration [Vol.%]

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Introducing Advanced PCMP Cleaning Solutions

Test Patterns for Chemical Mechanical Polish Characterization

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Etching Mask Properties of Diamond-Like Carbon Films

Hierarchical and Well-ordered Porous Copper for Liquid Transport Properties Control

Copper Interconnect Technology

The Mechanism of Haze and Defectivity Reduction in a New Generation of High Performance Silicon Final Polishing Slurries

CMP MARKETS & VALUE CHAIN PERSPECTIVES

EE 330 Lecture 9. IC Fabrication Technology Part 2

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

Evolution and Revolution of Cerium Oxide Slurries in CMP

Characterization of 5-Aminotetrazole as a Corrosion Inhibitor in Copper Chemical Mechanical Polishing

AVS CMP Users Group Meeting

Isolation of elements

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

The effect of hydrogen peroxide on polishing removal rate in CMP with various

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

Notable Trends in CMP: Past, Present and Future

Wear 270 (2011) Contents lists available at ScienceDirect. Wear. journal homepage:

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Particle Characterization of Abrasives

Make sure the exam paper has 9 pages total (including cover page)

Keywords: liquid crystal, ion beam irradiation method, pretilt angle, amorphous carbon.

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Thin film silicon substrate formation using electrochemical anodic etching method

EE 434 Lecture 9. IC Fabrication Technology

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

iafor The International Academic Forum

Isolation Technology. Dr. Lynn Fuller

Transcription:

Post-CMP Cleaning: Interaction between Particles and Surfaces J.-G. Park and T.-G. Kim Department of Materials Engineering, Hanyang University, Ansan, 426-791, South Korea E-mail: jgpark@hanyang.ac.kr Defects due to CMP processes should be removed for next processing step. The zeta potential of slurry particle and substrate has been considered to be a critical factor in terms of particle adhesion and removal. The fundamental research such as the calculation of interaction force based on DLVO theory and the measurement of adhesion forces by AFM between slurry particle and wafer surfaces can enhance the understanding of cleaning mechanism and development of cleaning process. This paper gives an overview of post CMP cleaning process from fundamentals to the current and future. Keywords: Cleaning, Chemical-mechanical Polishing, Interaction, Particles 1. Introduction With the decrease of a feature size, planarization of both front and back end layers by the CMP process now seems an absolute must for IC fabrication technologies smaller than 0.5 µm [1]. Unfortunately, abrasive particles, metallic contaminations, and a damaged layer at the top surface could be generated during CMP process [2]. These particles essentially originate from the used slurries such as silica (SiO 2 ), alumina (Al 2 O 3 ) and ceria (CeO 2 ) but also from the polished surface materials and a lesser extent from the polishing equipments. These particles can be physically attached on the wafer surface or even in the worst case partially embedded on the top layer due to the mechanical pressure exerted by the pad. CMP process also leaves a metallic contamination typically in the 10 11 ~10 12 atoms/cm 2 range. These contaminants arise from the abraded metal lines, metal ions in slurries, and the mechanical environment of the polishers. In front-end applications such as a STI process, the control of metallic contamination levels is very critical because of the following high temperature process. In the case of back-end steps, these parasitic metals must be removed as well in front-end steps because it can induce a lower breakdown voltage of devices. Organic nature particles have commonly occurred during metal and poly-si CMP. They are not easy to remove in conventional post CMP cleaning steps. They are mainly originated from pad, organic additives in slurry. Not like conventional wet cleaning processes, the contaminations induced by CMP process are much harder to remove in nature. A novel cleaning process should be developed based on the nature of CMP environments. 2. Surface property change due to slurry chemistry The hydrophobic poly-si was reported to introduce different polishing behavior with careful application of post CMP cleaning process. The hydrophilic surface does not usually create any water marks. On the other hand, the hydrophobic surface tends to attract water droplets that contain organic materials. When the water droplet was removed from the hydrophobic surface during wafer drying process, the water marks remained and organic residues formed. The water marks and organic residues recognized as a contaminant after CMP process. It is necessary to remove the contaminants from the poly-si surface. The mechanism of wettability and adhesion force of hydrophobic surfaces indicates that the controlling of the wettability of surface played an important role in the adhesion and removal force of organic residues on the poly-si surface. To control the wettability of surface, the contact angle and the adhesion force was investigated in KOH based solution at different concentrations of an additive. Contact angle and adhesion force were decreased as a function of the concentration of solution A, as shown

in Fig. 1. The solution A acts as a surface oxidant on the poly-si surface and makes more hydrophilic surface in the DI water. Figure 1. Surface property of contact angle of poly-si surface in the DI water and adhesion force of pad particle on the poly-si wafer surfaces in the KOH based solution at ph 11 as a function of concentration of the solution A. The friction force and pad temperature during poly-si CMP was measured as a function of concentration of solution A, as shown in Fig. 2. The hydrophilicity of surface due to the increase of solution A concentration resulted in a low friction force and pad temperature. Figure 3 shows the FESEM images of pad particle contamination on hydrophobic and hydrophilic poly-si wafer surface. Pad particle contaminations were observed on the hydrophobic poly-si wafer surface. The amount of pad particle contamination on wafers decreases with the increase concentration of solution A. It indicates that organic pad particle contamination and water marks may be caused by the nature of hydrophobicity of poly-si surface. It is well known that water marks can be more easily created on the hydrophobic surface than on the hydrophilic during drying process. Water marks were observed around the pad particles. It indicates that the controlling of the wettability of wafer surface played an important role in the adhesion and removal force of organic residues on the poly-si surface. Figure 2. Friction force and pad temperature of poly-si CMP as a function of concentration of solution A. (c) (d) Figure 3. FESEM images of polymeric particle contamination on the poly-si of hydrophobic surface,, (c) and (d) hydrophilic surface due to increase concentration solution A. Figure 4 shows images of defect map after CMP with slurry which was contained different additive concentrations. When solution A was added at different concentrations, defects on wafers were dramatically decreased. These results show that the hydrophilicity of surface plays an important role for post CMP cleaning process.

(c) (d) (e) (f) Figure 4. Defect maps before CMP, after CMP with no additive in slurry, (c), (d), (e) and (f) additive of 1, 3, 7 and 10 (A.U.) in slurry, respectively [3]. 3. Effects of polishing by-products CMP by-products, as shown in Fig. 5, happen to adhere on both pores and grooves of the pad and block the flow of slurry through the grooves resulting in the deterioration of nonuniformity. The selectivity (ratio of removal rate; Cu to TaN or dielectric film) is very important to minimize erosion. When wafers were polished on stained pad, the removal rate of Cu decreased around 30 % due to the poor slurry distribution and the selectivity decreased more than 40 % because the mechanical abrasion was enhanced by the presence of byproducts on pad surfaces. The lower the selectivity, the higher the level of erosion on polished patterned wafers. The higher frictional force on a stained pad results in higher temperature and etch rate of Cu which might be the reason for recess and dishing of Cu lines, as shown in Fig. 5 (b-e). (c) (d) (e) Figure 5. Typical CMP pad stains caused by Cu polishing by-products, the results of the changes of Cu removal rate and WIWNU as the number of polished wafers increased, (c) the erosion of Cu at 5 th and 23 rd wafers at different pattern densitie, (d) the change of selectivity of TaN and FSG vs. Cu at 5th and 23rd polished wafers, and (e) dishing of 200 and 500 µm line width on the 5 th and 23 rd polished wafers [4]. 4. Relationship between the interaction force and particle contamination The interaction force between particle and surface in solution can be controlled by adjusting the ph of solution. Figure 6 shows FESEM images of wafer surfaces after dipping in slurry solutions. Relatively large numbers of residual particles were observed on the wafer surfaces contaminated in acidic and neutral slurry. However, alkaline slurry solution showed the least number of particles on wafer surfaces. Alkaline slurry was much more desirable in controlling the level of particle contamination during Cu CMP.

Contamination of Cu wafers was performed using Cu slurry with silica particles. These wafers were cleaned in different cleaning solutions. Figure 7 shows the FESEM images of Cu surfaces after cleaning in different solutions. Large numbers of residual particles were observed on Cu surfaces cleaned in DI water, citric acid only solution, and citric acid solution with TMAH. However, citric acid solution with NH 4 OH showed complete removal of particles from Cu surfaces. The magnitude of adhesion force measured by AFM was directly related to particle removal results. Higher adhesion forces resulted in lower removal of particles. The particle removal experiments also agree with DLVO total interaction force calculation results. Figure 6. Interaction force and FESEM images of the wafer surfaces after dipping in the slurry solutions of acidic, neutral and alkaline ph [5]. Figure 7. The adhesion forces between Cu wafers and spherical silica particle in different solutions and FESEM images of the Cu surfaces after dipping in the slurry solution [6]. 5. Friction force change due to adhesion force The frictional characteristics of abrasive alumina and silica particles were experimentally investigated during the copper CMP process, as shown in Fig. 8. The frictional curves between the abrasive particles and the copper surfaces were measured using alumina and silica slurries both with and without citric acid. The alumina slurry was very sensitive to the chemistry of slurry. The highest frictional force of 9 kgf was observed in a DI water based alumina slurry. However, the lowest frictional force of 4 kgf was measured when citric acid was added in alumina slurry. The frictional forces of the silica particles (6 kgf) in the slurry were not significantly changed during CMP process regardless of the presence or absence of citric acid as observed in adhesion force measurements. The greater adhesion forces of particles on surface, the higher friction forces on copper. Friction Force ( Kgf ) 14 12 10 8 6 4 DI Water + Alumina Alumina DI Water + Silica Silica Friction Force ( Kgf ) 14 12 10 8 6 4 Citric Acid + Alumina + H 2 O 2 + NH 4 OH, ph6 Citric Acid + Silica + H 2 O 2 + NH 4 OH, ph6 Silica 2 2 Alumina 0 0 10 20 30 40 50 60 Time (Sec.) 0 0 10 20 30 40 50 60 Time (Sec.) Figure 8. The friction curves of abrasive particles in DI water and a citric acid-based solution during copper polishing [7].

6. Scratch defects due to adhesion force The adhesion forces of silica and alumina particles in the DI water and slurry solution were measured by AFM as shown in Fig. 11. The smallest adhesion force, 0.38 nn, was observed between the copper surface and alumina particles in a citric acid solution at ph 6. The largest adhesion force of alumina particles, 5.83 nn, was measured in DI water. The largest adhesion force of alumina particle in DI water was attributed to a stronger electrostatic attraction between alumina particle and copper surface in DI water due to their opposite sings of zeta potentials. The smallest adhesion force of alumina particles in the citric acid slurry was attributed to the selective adsorption of citrate on the alumina surface. However, the presence or absence of citric acid did not change the adhesion forces of the silica particles. This indicates that the adsorption of citrate reduces the adhesion forces of the alumina particles significantly. These results clearly show that the amount of adsorbed chemicals on the particle surfaces can affect the magnitude of the adhesion forces of the particles on wafer surfaces. It tells that the choice of chemical additives directly influences the adhesion force between slurry particle and substrate. The adhesion force is directly related to the friction force during polishing. Whatever removal rates are, the higher friction force, the higher level of scratches on polished surfaces. The low copper removal rates observed at high frictional forces may result in severe scratches on the copper surface. In order to investigate the relationships among the magnitudes of particle adhesion, frictional forces and scratching during the CMP process, AFM was used to observe the copper surfaces after the copper CMP process. Figure 9 shows the magnitude of particle contamination and scratches on the copper surfaces. The scan area of copper surface was 45 45 µm 2. Large numbers of residual particles and scratches were observed on the polished copper surfaces in DI water with alumina particles. Silica particles also generated particle contamination and scratches on the copper surface when in either the DI water or the citric acid-based slurry. The depth of the scratches on the copper surface was dependent on the magnitude of the friction force. Higher fictional forces correlated to the observation of deeper scratches on the copper surfaces. Adhesion force is known to influence not only the magnitude of friction force but also the level of particle contamination on substrates which directly relate to the surface roughness and number of scratches after CMP. Even though similar friction forces were measured in both silica slurries with and without citric acid, lower adhesion force was observed in citric based silica slurry. Lower adhesion force indicates lower friction during polishing which actually resulted in smoother surfaces in citric based silica slurry. (c) (d) Figure 9. The adhesion forces of the particles on copper in DI water and citric acid solutions and AFM images of the copper surface after polishing in a DI water-based alumina slurry, a DI water-based silica slurry, (c) a citric acid-based alumina slurry, and (d) a citric acidbased silica slurry at ph 6 [7].

7. Conclusions The interaction between abrasive particle and wafer surface during CMP has strong relationship to not only post CMP cleaning performance but also final quality of surfaces after polishing. In the Cu CMP process, the relationship among friction force, removal rates, adhesion forces and defects such as scratches and particle contaminations was studied. The smallest adhesion force resulted in the lowest friction force in the alumina based slurry with the addition of citric acid. Higher particle adhesion forces generated higher frictional forces, abrasive particle contamination and scratches on the copper surfaces during the CMP process. This indicates that the magnitude of particle adhesion on the wafer surfaces in slurries can be directly related to the frictional behavior and surface quality during the CMP process. The study on the effects of slurry ph on the adhesion and removal of abrasive particles on various materials wafer surfaces was experimentally and theoretically investigated in slurry solutions. Alkaline slurry was much more desirable in controlling the level of particle contamination during Cu CMP. The ph of the slurry and zeta potentials of the surfaces played important roles in controlling the interaction force. Higher adhesion forces resulted in higher particle contamination on polished surfaces. The adhesion force of silica on Cu was measured using AFM in cleaning solutions. The smallest attractive force was observed in the solution mixture of citric acid and BTA with NH 4 OH. Theoretical and experimental adhesion forces exhibited good agreement. The complete removal of particles was observed when citric based cleaning solution with NH 4 OH is used. However, the addition of TMAH results in the highest adhesion forces. It indicates that selection of ph adjustors is also important. The adhesion force between particle and surface directly relates to the cleaning efficiency of cleaning solutions. In the poly-si CMP process, the adhesion and removal of the polymeric residues as a function of wettability of the poly-si surface was observed during poly-si CMP process. Much more pad particle contaminations with water marks were observed at hydrophobic poly-si surfaces than hydrophilic. Water marks were observed around the pad particles. The mechanism of wettability and high adhesion force of hydrophobic surfaces indicates that the controlling of the wettability of wafer surface played an important role in the adhesion and removal force of organic residues on the poly-si surface. 8. Acknowledgements This work is supported by the Medium-term Strategic Technology Development Program and Korea Institute of Industrial Technology Evaluation and Planning funded by the Ministry of Commerce, Industry and Energy (MOICE), the fostering project of the Lab of Excellency, post BK21 program and Samsung Electronics. References [1] J. M. Steigerwald, S. P. Murarka, R. J. Gutmann, Chemical Mechanical Planarization of Microelectronic Materials (John Wiley & Sons, Inc., 1997) p. 1. [2] Y. L. Wang, T. C. Wang, J. Wu, W. T. Tseng, C. F. Lin, Thin Solid Film, 332, 385 (1998). [3] S. Yun, S. Han, J. Lee, Y. Hong, J. Park, B. Yun, C. Hong, H. Cho and J. Moon, The Electrochemical Society Fall Meeting, Cancun, Mexico, 2006 [4] J. H. Han, S. R. Hah, Y. J. Kang and J. G. Park, J. Electrochem. Soc. 154, H255 (2007) [5] S. Y. Lee, S. H. Lee and J. G. Park, J. Electrochem. Soc. 150, G327 (2003). [6] Y. K. Hong, D. H. Eom, S. H. Lee, T. G. Kim, J. G. Park and A. A. Busnaina, J. Electrochem. Soc. 151, G756 (2004). [7] Y. K. Hong, J. H. Han, T. G. Kim, J. G. Park and A. A. Busnaina, J. Electrochem. Soc. 154, H36 (2007).