CMP Defects and Evolution of PCMP Cleans

Similar documents
OUTLOOK FOR ADVANCED CLEANS

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMP challenges in sub-14nm FinFET and RMG technologies

New Materials and Processes for Advanced Chip Manufacturing

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

New Materials as an enabler for Advanced Chip Manufacturing

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

CMP MARKETS & VALUE CHAIN PERSPECTIVES

Impact of Advanced Memory Technologies on CMP Industry

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

2009 Market Slurries and Particles in CMP & a Bit Beyond

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

The History & Future of

Post-CMP Cleaning: Interaction between Particles and Surfaces

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

1.1 Background Cu Dual Damascene Process and Cu-CMP

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Introducing Advanced PCMP Cleaning Solutions

Evolution and Revolution of Cerium Oxide Slurries in CMP

Notable Trends in CMP: Past, Present and Future

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

SLURRY FORMULATION OPTIONS

OPPORTUNITIES FOR CHEMICALS AND MATERIALS IN PV

CMP Scratches; Their Detection and Analysis on Root Causes

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

AVS CMP Users Group Meeting

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Slurry Design Evolution. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 14, 2012

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Shedding Light on Critical Materials Down Turn? Up Turn? Which way now? By Lita Shon-Roy TECHCET Group, LLC.

Overview of Dual Damascene Cu/Low-k Interconnect

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION.

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

New Applications of CMP for Non-Traditional Semiconductor Manufacturing. Robert L. Rhoades, Ph.D. Entrepix, Inc.

Wet Chemicals and Specialty Cleaning Chemistries

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

NCAVS CMPUG Meeting July 16, 2009

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

4th Annual SFR Workshop, Nov. 14, 2001

Czochralski Crystal Growth

Needham Growth Conference. January 2007 NYC

Integration Issues with Cu CMP

Linx Consulting, Inc.

Atomic Layer Deposition(ALD)

CMP Solutions for the Integration of High-K Metal Gate Technologies

Alternatives to Aluminium Metallization

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

200mm Next Generation MEMS Technology update. Florent Ducrot

BEOL PRE-METALLIZATION WET CLEAN: POST-ETCH RESIDUE REMOVAL AND METAL COMPATIBILITY

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Copper Interconnect Technology

Evaluation of Copper CMP Process Characterization Wafers

A World Class Specialty Materials Company

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Review of CMOS Processing Technology

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Equipment and Process Challenges for the Advanced Packaging Landscape

Simple Cubic Crystal

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

Crystal Growth and Wafer Fabrication. K.Sivasankaran, Assistant Professor (Senior), VLSI Division, School of Electronics Engineering, VIT

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT?

2006 UPDATE METROLOGY

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Lecture 10. Metallization / Back-end technology (BEOL)

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Copyright Fujimi Corporation 2013

Post CMP Defects; Their Origin and Removal

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

SKW Wafer Product List

s t c u d o r P n o r c i M 1 DI micron brochure.indd 1 2/10/2014 3:53:18 PM

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

Semiconductor Technology

Chemical Mechanical Planarization

CMP Applications and Issues Part 3

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Next Gen Packaging & Integration Panel

MEMS Devices. Fraunhofer Institute for Silicon Technology ISIT. Itzehoe, Germa. any

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Chapter 7 Polysilicon and Dielectric Film Deposition

CLEANING AND SURFACE TREATMENTS

INTEGRATED-CIRCUIT TECHNOLOGY

Emerging Materials for Front End IC Process

Particle Characterization of Abrasives

Transcription:

CMP Defects and Evolution of PCMP Cleans March 27, 2017 Iqbal Ali iali@linx-consulting.com (408)839-9924 SPCC & PCMP Conference, Austin, TX

Agenda 1. Introduction to Linx Consulting 2. Where Have We Been and Where are We Going? 3. Defects in CMP 4. Post-CMP Clean 5. Conclusions 2

Introduction to Linx Consulting 3

Linx Consulting 1. We help our clients to succeed by creating knowledge and developing unique insights at the intersection of electronic thin film processes and the chemicals industry 2. The knowledge is based on a core understanding of the semiconductor device technology; manufacturing processes and roadmaps; and the structural industry dynamics 3. This knowledge is leveraged to create advanced models, simulations and real-world forecasts 4. Our perspectives are by direct research and leveraging our extensive experience throughout the global industry value chain, including: Experience in global electronics and advanced materials and thin film processing industries Experience in the global chemicals industry Experience at Device Producers Experience at OEMs 4

Linx Consulting Service Portfolio Multi-Client Reports IC Materials CMP Deposition Patterning Cleaning Gases Bulk Chemicals III-Vs, TSV, WLP, Solar Proprietary Projects Market Planning M & A Growth and Diversification Supply Chain Optimization Technology Commercialization Strategic Planning Voice of the Customer Econometric Semiconductor Forecast Financial planning Sales and Operational planning Forecasting Hilltop Economics LLC Cost Modeling Client demand modeling Product development Bill of Materials quantification IC Knowledge, LLC Semi Packaging Nano Technology LCD PV LED/ Compound Semi Conference Production - Surface Prep & Cleaning Conference & PCMP Cleans www.spcc2017.com 5

Customer Base in Semiconductors Finance &Technology Raws & Chemicals Equipment Services End-users & Consortia China Taiwan SEA & India North America Korea Europe Japan 6

Where Have We Been and Where are We Going? 7

Total Cleans Chemicals Market $2,500 millions $2,000 $1,500 $1,000 $500 $0 2014 2015 2016 2017 2018 2019 2020 PCMP $122 $132 $147 $163 $175 $186 $192 Solvent Strip $132 $132 $126 $146 $171 $197 $225 Bulk Wets $1,324 $1,316 $1,383 $1,371 $1,401 $1,372 $1,342 PERR $416 $399 $396 $402 $408 $423 $436 8

Post CMP Clean Market $250 millions $200 $150 $100 $50 $0 2014 2015 2016 2017 2018 2019 2020 W PCMP $24 $27 $30 $32 $34 $35 $34 Oxide PCMP $18 $20 $21 $22 $23 $23 $23 STI PCMP $8 $9 $10 $11 $12 $12 $12 Cu PCMP $71 $76 $86 $97 $106 $116 $123 9

Many New Materials Enable Moore s Law Source: ASM (IL: InterfaceLayer) ssoi/geoi Ge IL III-V IL GaN InSb InGaAs Ge STO Ru (PM: Patterning Materials) Other PM's EUV Other PM's EUV Patterning Related BEOL FEOL Starting Materials (*): Projection Co Si(C)P Co Si(C)P FDSOI SiC FDSOI SiC Air SiCO Air SiCO LaO LaO LT SiO LT SiO SiCN SiCN SiCN TiAlC MG ZrO Hf(Si)O AlO TiAlC MG ZrO Hf(Si)O AlO TiAlC MG ZrO Hf(Si)O AlO psioc psioc psioc SOI SOI SOI SiGe(B) SiGe(B) SiGe(B) TaO SOG TaO SOG TaO SOG TaO SOG SiOC Ta/TaN Cu SiOF SiOC Ta/TaN Cu SiOF SiOC Ta/TaN Cu SiOF SiOC Ta/TaN Cu SiOF TiSi PtSi TiSi PtSi TiSi PtSi TiSi PtSi TiSi PtSi TiW TiW TiW/TiN TiN TiN TiN WSi, MoSi WSi, W WSi, W W W W Al BPSG Al BPSG Al BPSG Al BPSG Al BPSG Al BPSG Al BPSG Al SiO, SiN SiO, SiN SiO, SiN SiO, SiN SiO, SiN SiO, SiN SiO, SiN SiO, SiN Si Si Si Si Si Si Si Si 1960 1970 1980 1990 2000 2010 2015 2020(*) 10

New Structures Require CMP Logic NAND EDN Network 11

Defects in CMP 12

Defectivity Paradigm Shift at 20nm and Beyond 1. Pursuit of Moore s Law is driving ever increasing design innovation, process sensitivity & complexity 2. Paradigm shift in thoughts about what we did not care about earlier in >20nm era & what we care to control now! Complex Chemistry: Compatibility Issues New Defect Sources, increase Defect Sensitivity 3. Metrology techniques of all types are challenged to provide sufficient sensitivity for early detection & prevention Supplier Infrastructure Development needed for better defect detection & characterization Metrology and Quality Control 4. Proactive engagement and collaboration across the supply chain are essential to HVM readiness Source: A. Sengupta, Intel. Semicon West 2015 13

Defects from CMP Process Major defects induced by CMP are caused by: 1. Organic Residue 2. Surface Particle 3. Scratching 4. Surface Flaking 5. Grain Roughness 6. Pattern Damage Due to limited redundancy, each defect directly has an impact on the device performance or yield leads to all kinds are potential killer defect Organic residues and surface particles are the most frequently observed Consumables designed to minimize defects are required including: Ultra-fine/colloidal abrasive Soft pads without sacrificing planarity Mild conditioning with proper pad surface roughness In-situ clean from CMP tool is the most effective for clean wafer since it cleans wafer with wet state 14

Metrology Issues Real-time metrology is practically impossible. In-line metrology is challenged to measure critical particle sizes below 20nm High cost of systems Off-line analysis to augment particle characterization Optical (particle detection) systems such as SP3 and SP5 are identifying new defects On-wafer metrology has become the only approach able to show defects. In many cases it is possible to identify the source from on-wafer analysis. The expectation for particle shedding, and contamination continues to reduce. In general, many new defects are driven by the introduction of new materials and processes Killer defects, or critical particles are now in the order of 10 or 20 nm. On-wafer analysis is struggling to define particles sizes or discriminate defects as residues or discrete solids. Defect sources vary from chemicals, water, seals, filters, piping etc. Equipment suppliers are driving to deliver ultraclean products which are certified with the latest analytical techniques, and targeted at delivering best possible performance. 15

Defectivity Requirements Impact Consumables For advanced nodes, the industry needs to have extremely tight control on the slurries and pad quality Greater use of high purity particles and chemicals to minimize contamination and increase reproducibility In advanced slurries, quality and morphology of the slurry particles will be critical No agglomerations and angular particles Need mostly spherical particles and to minimize the number of edges Trend to low abrasives or abrasive free 0.5% or lower solids content as the slurry formulation trend is to greater chemo effect than mechanical effect Selectivity requirements will prove challenging to slurries as selectivity is increased tuned as a key point of the overall process control Galvanic corrosion needs to be controlled in-situ this is controlled by using the correct ingredients/formulations Advanced slurry formulations may utilize 10 to 15 distinct ingredients Buff slurries include products from Cabot Micro and Dow/Klebosol In general high purity colloidal silicas are preferred for buffing and there appears to be a trend towards ultra high purity colloidal silica buffs 16

Ultra High Purity Colloidal Silica (UHPCS) Fuso manufactures high purity colloidal silica by particle growth method using hydrolysis and condensation with high purity alkoxysilane as a starting material Compared with colloidal silica starting from sodium silicate, this product is more pure, spherical shaped and stable at neutrality The purity of the product excluding nonionic and ionic dispersing agent is 99.9999% or more It is believed that, based on customer's requirement, it's possible to control particle size, degrees of the particle shape and select the dispersing agent, water, alcohol, glycol, etc. 17

Abrasives Selected to Enhance Yield $160 $140 $120 $100 $80 $60 $40 $20 $ Million 11.7% 5.7% -4.9% -14.9% 17.8% 20.0% 15.0% 10.0% 5.0% 0.0% -5.0% -10.0% -15.0% $- Alumina Fumed Silica UHPCS Colloidal Silica Ceria 2006 2016 CAGR -20.0% Abrasives that do not drive optimized cost and yield solutions are transitioned away and replaced 18

Buffing Can Reduce CMP Defects The industry trend is to use softer pads for barrier polishing to combat scratches on the wafer surface during buffing Typically, higher purity slurry/or wafer clean solutions are used in buffing to reduce microscratches, metallic and ionic contaminants Until recently, the Polytex Supreme was the pad of choice for barrier polishing. However, these pads cause scratches and higher defectivity due to the nature of the pad surface These pads were replaced by Fujibo pads for lower scratches and defectivity The trend now is to use VP3500/3100 pads for better defectivity, lower scratches and better planarity The use of high purity slurry and wafer clean solution have some impact on the output and COO. However, it is well understood that removal of yield limiter defectivity outweighs the slight increase in cost due to buffing Once the polishing process is complete, a post-cmp cleaning process must not only remove residual slurry particles but also trace levels of metal ions, which otherwise could significantly decrease yield 19

Replacement Metal Gate (RMG) Process Flow in FinFET Structure Reduction in defectivity Morphology and purity of slurry abrasives Reduction in slurry abrasive content in slurry formulation Advanced polishing pads to reduce microscratches and other defects Reduction in down force for polishing to have minimum abrasion Polisher with advanced features for process control Reduction in post-cmp cleaning contacts Use of ultra-high purity chemicals for slurry formulation Typical Source of Surface Particle Defects Thorough understanding of replacement metal gate (RMG) process flow Look into the integrational aspect of defect source Understanding mechanism of formation of surface particles Role of CMP cleaner module in reducing defects Source: Hong Jin Kim, GF,CAMP 2016 20

Particle Defects at Post Metal Gate CMP Source: Hong Jin Kim, GF, CAMP2016 21

Post CMP Cleans 22

Evolution of PCMP Cleans Due to stringent requirements of defectivity reduction/elimination, steps to remove defectivity from all possible sources are done with extreme care Post-CMP cleaning solutions can have a large effect on wafer defectivity levels which are very active in preventing corrosion, surface contamination and cost of operation performance Optimized cleaning solutions are especially critical for post-copper CMP cleaning process as they enable decreased organic contaminant counts Typically, proprietary formulations are used to increase performance, reliability and yield with reduced contamination and increase in queue time In addition, the desired level of surface roughness can be tuned by selecting different cleaning chemistries by choosing right concentration and dispensed volume for post-cmp cleaning Industry moving away from TMAH on PCMP cleans. All new PCMP formulations are TMAH free, with specialty amines being evaluated as replacement components, over the last 2 to 3 years 23

Post-CMP Cleaning Chemistries Evolution The aqueous based chemistry consists of cleaning agents, chelating agents and corrosion preventing compound and other proprietary chemicals during and after the cleaning process The primary function of these chemicals is to protect the planarized metals and dielectrics, preventing corrosion while providing a smooth defect free wafer surface PCMP cleaning chemistry effectively removes organic residues, provide corrosion protection (static etch rate), galvanic corrosion protection, dendrite protection, and minimize oxide formation by protecting the surface layer from oxidation PCMP Cleaning should remove trace metal ions from the wafer surface, provide excellent cleaning on dielectrics and excellent film wetting properties for water mark free cleaning, with no biological growth by providing a passivation layer to prevent from atmospheric corrosion PCMP use significant levels of dilution 50 to 150X, reducing post-cmp COO This is primarily to use optimum dilution of the chemistry for effective removal of particles and other defects without any significant impact on remaining chemicals on the post-cmp surface 24

Copper Acidic cleans: Post-CMP Copper Cleaning Typically comprise of organic acids, employ an under etching mechanism, where a layer of CuO and Cu 2 O is dissolved from the wafer surface, thereby liberating the lodged particle Ideally this would be capable of under etching foreign particles embedded in Cu, and smooth over mild physical CMP induced damage, with the potential downfalls of organic residue and Cu corrosion This is especially true, if cobalt is used in the integration. The acidic chemistry might have galvanic corrosion in copper/cobalt integration Alkaline cleans: Typically use a dissolution mechanism with water soluble organic solvents, which penetrates, swells, and dissolves the BTA film while lifting off surface particles In alkaline ph cleans, the negative zeta potential (NZP) aids in keeping the removed particles in the solution and does not allow them to re-attach to the wafer surface or PVA brush Due to the complexity of the various hydrophobic low-k dielectrics, integrated with copper, it is very difficult to use a commodity type of post-cmp cleaning chemicals The industry is using formulated PCMP chemicals to work with various Cu/low-k integration. 25

Post-CMP Oxide and Tungsten Cleaning Oxide Usually for oxide cleaning, basic solutions like Ammonia or TMAH are used in conjunction with brush cleaning and/or megasonic cleaning. Most of the time, the chemistry is flowed through the brush Cleaning phosphosilicate glass (PSG) is generally more difficult than un-doped oxides. Because PSG is softer than an un-doped oxide, it usually has more microscratches. HF immersion can greatly reduce the metallic contamination, but it enlarges the microscratches, leading to a large number of detectable defects Alkaline formulated cleaning solution (PlanarClean ) is widely used for oxide cleaning Tungsten The requirements for extreme control on scratch requirements, organic contaminants and other surface defects, leads to the use of post-cmp Tungsten buffing. This was more predominant for front-end applications, which require extremely low scratch surfaces with minimum contamination and higher planarity Typically, formulated cleans like PlanarClean AG are used over commodity cleans for W buffing process through enhanced reliability and yield with reduced micro-scratches, lower corrosion and defects The industry trend is to provide improved cost-of-ownership by reducing the amount of chemistry 26

STI Post-CMP STI Cleaning One of the major issues with ceria is higher defectivity, primarily due to scratching and particle defects due to strong bonding between ceria and oxide STI buff reduces CMP defectivity by chemical buff on P2 using appropriate type of chemistry, compatible with the slurry used for STI polishing Typical approach for post-sti process defect reduction is oxide buff on P3 to remove residues and scratches, followed by brush-box cleaning Versum Materials have developed a buffing chemistry (DP1036) on P3 to reduce ceria particles and other residues in the buff step with minimum loss in oxide/nitride film thickness It appears that post-sti chemical buff on P3 reduces the micro-scratches and other defects dramatically with insignificant loss of oxide/nitride thickness The buffing reduces the particle defectivity on wafers coming into cleaning module This significantly reduces the possibility of brush loading, extending the brush life and reducing maintenance requirements 27

Conclusions The use of SP3/SP5 for defect detection provides tremendous pressure on the CMP Process Buffing on the final platen has significant impact on removing particles, defects and micro-scratches New abrasives are also used to control the CMP process and reduce defects as the trend is to use lower abrasive and chemistry content to reduce defectivity Consumable manufacturers need to develop defect-free pads, slurry and clean chemistry Development of PCMP clean solution is a challenge due to the introduction of new materials with increases in the demand for lower defectivity, particles and micro-scratches The PCMP clean chemicals market will continue to grow at a rapid rate 28