Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Similar documents
CMP challenges in sub-14nm FinFET and RMG technologies

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

CMP Scratches; Their Detection and Analysis on Root Causes

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Czochralski Crystal Growth

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

CMP Defects and Evolution of PCMP Cleans

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

New Materials as an enabler for Advanced Chip Manufacturing

Simple Cubic Crystal

Study of cobalt etching speed controling by ph and oxidizer concentraion

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION.

Post CMP Defects; Their Origin and Removal

Post-CMP Cleaning: Interaction between Particles and Surfaces

EE 330 Lecture 9. IC Fabrication Technology Part 2

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Overview of Dual Damascene Cu/Low-k Interconnect

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

CMP Solutions for the Integration of High-K Metal Gate Technologies

Lect. 2: Basics of Si Technology

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

CMOS FABRICATION. n WELL PROCESS

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

INTEGRATED-CIRCUIT TECHNOLOGY

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

Review of CMOS Processing Technology

Complementary Metal Oxide Semiconductor (CMOS)

ECE321 Electronics I

CMOS Manufacturing process. Design rule set

EE 434 Lecture 9. IC Fabrication Technology

MICROCHIP MANUFACTURING by S. Wolf

Integration Issues with Cu CMP

Integrated Circuits & Systems

Copper Interconnect Technology

Emerging Materials for Front End IC Process

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Make sure the exam paper has 9 pages total (including cover page)

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Cost of Integrated Circuits

Chapter 2 Manufacturing Process

EE CMOS TECHNOLOGY- Chapter 2 in the Text

VLSI Systems and Computer Architecture Lab

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

CMOS Processing Technology

9/4/2008 GMU, ECE 680 Physical VLSI Design

CMOS Processing Technology

Lecture #18 Fabrication OUTLINE

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Isolation Technology. Dr. Lynn Fuller

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Linx Consulting, Inc.

EE 143 CMOS Process Flow

1.1 Background Cu Dual Damascene Process and Cu-CMP

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

SKW Wafer Product List

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Lecture 3. Wafer Clean and Wet Processing

Lecture 22: Integrated circuit fabrication

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Oxidation SMT Yau - 1

Lecture 0: Introduction

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

SLURRY FORMULATION OPTIONS

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

EE C245 ME C218 Introduction to MEMS Design

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

FABRICATION of MOSFETs

2009 Market Slurries and Particles in CMP & a Bit Beyond

Doping and Oxidation

Hafnium -based gate dielectrics for high performance logic CMOS applications

CyMOS process Spring 2016 Iowa State University

Chapter 5 Thermal Processes

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Chapter 3 Silicon Device Fabrication Technology

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Lecture 1A: Manufacturing& Layout

Transcription:

Post CMP Cleaning Conference @ SPCC2017 March 27, 2017 Jin-Goo Park

Challenges in surface preparation Research trend in cleaning technology Lesson learned from current cleaning technology Challenges in post CMP surface preparation 2

Device Technology Roadmap 10 11 12 13 14 15 16 LN32 LN28 LN20 LN14 Device Logic NAND Flash 3D FinFET technology enables further scale-down High mobility material will be needed for ~10 nm node FN27 FN21 FN1x Vertical 3D NAND flash will be in the market Monolithic multi-layer cell stack Planar FN1x FN1x V-NAND DRAM D46 D35 D28 D2x D1x D1x Transistor : Vertical cell transistor with High-K dielectric Patterning : EUV litho. Technology is required for 2X nm node Cell Capacitor : New structure and dielectric material 3

Device Technology Roadmap 10 11 12 13 14 15 16 LN32 LN28 LN20 LN14 Device Logic DRAM NAND Flash 3D FinFET technology enables further scale-down High mobility material will be needed for ~10 nm node FN27 FN21 FN1x CMP/Cleaning requirements Vertical 3D NAND flash will be the market Materials enabled scaling Monolithic multi-layer cell stack Planar FN1x FN1x V-NAND - FEOL (III-V material), BEOL (Co, Low-k) D46 D35 D28 D2x D1x D1x Architectural innovation Transistor - 3D : Structure Vertical cell CMP transistor with High-K dielectric Patterning : EUV litho. Technology is required for 2X nm node Cell Capacitor : New structure and dielectric material Defect Reduction - Nano Sized Slurry, CVD Pad conditioner, Soft Pad, PVA brushes 4

Logic Device Roadmap Screen, MRS 2016 5

Devices Cleaning Challenges J. Snow, Advanced Particle Removal Techniques for 20nm Device Node, SPCC (2012) 6

Next Generation Surface Preparation Issues Nanometer Feature Size New Materials Nanometer Thin Film Single Wafer Cleaning CMP Process EUVL Process 3D Device High Aspect Ratio Challenges Clean without Etching - Non RCA (H 2 O 2 based) Chemistry Clean without Pattern Damage - No Megasonics and Brushes CMP Induced Defects (nano particles and organic defects) Zero Defect on EUVL Mask/Pellicle Drying without pattern leaning High selectivity dry etching/cleaning 7

Cleaning sequences: roadmap 8

Traditional Wafer Cleaning Chemicals and Technology SC-1(NH 4 OH+H 2 O 2 +H 2 O=1:1:5 at 80 ~ 90 C) - Particles and organic contamination removal SC-2(HCl+H 2 O 2 +H 2 O=1:1:5) at 80 ~ 90 C ) - Trace and Noble Metal removal Piranha(H 2 SO 4 :H 2 O 2 =4:1 at 90 ~ 120 C) - Organic Contamination removal and PR strip HF (+ H 2 O 2 ) : Last wet cleaning - HF : Native oxide and H 2 O 2 : Metal removal Megasnic 9

Single Wafer Cleaning Technology 10

Single Wafer vs. Wet Bench Cleans Gates S/D Epi All Cleans on Wet Bench Overetched Hard Mask All Single Wafer Cleans Reduced overetch Improved Selectivity 11

Cleaning of Fragile Structures 22 nm 30 nm 12

Cleaning without damages 13

Wafer Drying Technology Spin dryer DI water, liquid IPA, vapor IPA, hot IPA Marangoni IPA dryer 14

CMP Challenges in 10 nm/7 nm Number of CMP steps doubled from 28nm to 10nm node in order to enable new integration schemes such as replacement metal gate and self-aligned contact. ~ 75% of the increase is in MOL due to the complexity of contact module. New CMP processes such as Co, Cap SiN and SiOC CMP in MOL J. Han, CSTIC, 2016 15

CMP Process for 3D FinFET -FEOL Critical CMP step in FEOP for sub-28 nm Replacement Metal Gate application (RMG) FinFET technology have been described STI CMP for bulk FinFET Fin formation Gate deposition Gate Planarization Gate patterning Ext. / HALO implant Spec on SiN : < 2nm variation WID/WIW Poly-open or ILD0 CMP Dummy gate removal Dummy dielectric removal IL-SiO 2 /HfO 2 deposition WF MG + Fill-in MG deposition MG CMP Spec on gate height variation : < 5nm variation WID/WIW Spacers formation HDD Si epi HDD implantation Anneal CESL and ILD0 deposition Thickness on Fin and on Field : <5 nm WID/WIW Spec on gate height variation : < 5nm variation WID/WIW Each CMP step has tight SPEC s in terms of WID and WIW uniformity control Continuous improvement ongoing towards smaller technology nodes *K. Devriendt, P. Ong, ICPT2012, *K. Devriendt, K. Kellens, A. Veloso, R. Athimulam, ICPT2011, p536 16

How do we clean polished wafers? PVA Brushes!! 17

Nano Particle Removal in Post CMP Cleaning Typical Brush (Large Particle) + Pencil Brush (Middle Particle) GF, SPCC 2015 18

Defect Level of PVA Brush s Stage < Defect count vs. Time> [Initial] Break-in Process Laststage < Shear Force Vs. Time> Main Process - Initial > Last-stage >> Main Process *Ref: ITW Rippey Defect count is increased by brush cleaning in long run process. Shear force is decreased by brush cleaning in long run process. PVA brush cleaning performance decreases as a function of process time. 19

FE-SEM Analysis of Fully-Used PVA Brush New Brush 20 kx Post Tungsten CMP (Silica) DHF 20 kx Chemical by-product 2 µm 2 µm Post Oxide CMP (Silica) SC-1 Cleaning Post Oxide CMP (Silica) DHF Post Oxide CMP (Ceria) DHF Chemical by-product 20 kx Chemical by-product 20 kx Ceria particles with Chemical by-product 20 kx 2 µm 2 µm 2 µm Different types of slurry and cleaning chemistry affect contamination of brush surface. 20

Brush Treatment Methods with Different Chemicals # Method Solution ph 1 2 3 4 5 6 Dipping (24 Hr) Scrubbing (12 Hr) DIW 7 DHF (0.9:100 = HF:DIW) SC-1 (1:2:40 = NH 4 OH:H 2 O 2 :DIW) 3.1 10.2 DIW 7 DHF (0.9:100 = HF:DIW) SC-1 (1:2:40 = NH 4 OH:H 2 O 2 :DIW) 3.1 10.2 Reference Condition 2 Types of Method : Dipping / Scrubbing 3 Types of Solution : DIW / SC-1 / DHF 21

3D-Profiler Analysis of Chemical Treated PVA Brushes DHF scrubbed PVA brush shows flattened surface. SC-1 scrubbed PVA brush shows rough surface. 22

3D-Profiler Analysis of Chemical Treated PVA Brushes Analyzed Surface Roughness of Various Chemical Treated PVA Brushes Dipping Scrubbing All dipped brushes show the similar morphology and surface roughness. The scrubbed brushes show significant change in morphology and surface roughness. It will affect the change of real contact area between brush and particles on wafer. DHF scrubbed PVA brush shows flattened surface with the lowest surface roughness. 23

FE-SEM Analysis of Chemical Treated PVA Brushes Initial 100 x 500 µm SC-1 Treated 100 x DHF Treated 100 x Removed skin layer Remained and Flattened skin layer 500 µm 500 µm SC-1 treated brush shows the removed skin layer. DHF treated brush shows remained and flattened skin layer. It will affect the final cleaning performance on wafers. 24

Compress Stress Analysis of Chemical Treated PVA Brushes 25 20 15 10 D D S D D S 26 24 22 5 20 0 0 20 40 60 80 18 20 22 24 26 28 30 18 (a) (b) (c) (a) compressive stress measurement of various chemical treated brushes, (b) its magnified region, (c) compressive strength A significant change of elastic property was observed in scrubbed brush when compared to the chemical dipped brush. The SC-1 treated brush showed more changes in its compressive stress than DHF treated brush. 25

Effect of Brush Treatment Methods and Chemicals Dipping Scrubbing Overall DHF SC-1 Surface morphology Similar Significant changes Flattened Rough Surface roughness value Similar Significant changes Lowest Skin layer Remained Significant changes Remained Removed Elastic property Similar Significant changes Lowest The scrubbed brushes show the significant changes in the morphology and surface roughness. The SC-1 treated brush shows more elastic and morphological changes than DHF treated brush. 26

CMP Process for High Mobility Channel Materials CMOS performance can be enhanced by using high-mobility channel materials - III/V materials (nmos) : high electron mobility / Ge (pmos) : high hole mobility - The approach followed in this work is Ge-STI approach Standard STI on bulk Si wafer Selective Si recess etch Selective epitaxial Ge growth on Si CMP of Ge stopping on SiO 2 Ge deposition After Ge CMP TDD reduction anneal CMP requirement - Good planarity - Low amount defects - Low surface roughness *P. Ong, C. Gillot, S. Ansar, B. Noller, Y. Li, L. Leunissen, ICPT2012, p23 *P. Ong, L. Witters, ICPT2010, p69 27

Low Defectivity III-V CMP Process Improving Defectivity for III-V CMP Processes for <10 nm Technology Nodes Hard Pad InP-filled structure Integration of III-V channels ART c Soft Pad Defect reduced SEM AFM InP in STI trenches can be removed by choosing the appropriate slurry/pad combination Hard Pad < Soft Pad Good surface achieved by using soft pad Not yet optimized on defect control on polished III-V surfaces InGaAs surface post CMP using soft pad *Lieve Teugels, Patrick Ong, Guillaume Boccardi, Niamh Waldron, ICPT2014, p15 28

Post InGaAs CMP Cleaning Issues of III-V post CMP cleaning InGaAs CMP using silica slurry Silica slurry abrasives were not removed perfectly after post CMP cleaning DIW megasonic followed by 2 brush steps with <1% diluted ammonia Need to selective cleaning of III-V surface Chemical cleaning : etching, electro-repulsion Physical cleaning Slurry Conditioner Pressure Carrier Post CMP cleaning After CMP process Silica After CMP process Silica slurry residue Polishing pad III-V SiO 2 29

InAs Etching Mechanism of SC-2 Etching mechanism according to the HCl concentration High concentration: oxidation rate < dissolution rate Low concentration : oxidation rate > dissolution rate In-As Slow step In-OH As-OH Fast step In-Cl As-Cl Stabilization Lower etch rate { InCl(OH) 2 } surf { AsCl(OH) 2 } surf Fast step Dissolution product { InCl n (3-n)+ } soln { AsCl n (3-n)+ } soln { In(OH) 3 } surf Fast step HCl : etching H 2 O 2 : oxidation -H 2 O : dehydration Destabilization Higher etch rate { As(OH) 3 } surf Oxidation product In 2 O 3 /As 2 O 3 Dennis H. Van Dorp, Sophia Arnauts, Frank Holsteyns and Stefan De Gendt, ECS Journal of Solid State Science and Technology, 4(6) N5061-N5066 (2015) 30

Defect Control Map Zero Defectivity (Defect Control) < CMP > < Post CMP Cleaning > Scratch (line, chatter) Corrosion Organic contamination Scratch (circular type) Particle Slurry, Pad Process condition Inhibitor Cleaning solution Inhibitor Process condition Brush characteristic Slurry & Pad development Effective inhibitor Easily removable inhibitor Brush study Or New technology Introduction of new inhibitors Understanding of brush characteristics Synergy between cleaning system and chemistry 31

Summary CMP becomes more importance in 1x nm below device design and fabrication CMP Challenges : FEOL, MOL process- defect sensitive New consumable and cleaning technology needed Current cleaning process and technology are available and could solve all contamination issues in post CMP cleaning 32