Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography

Similar documents
Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

micro resist technology

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Thermal Nanoimprinting Basics

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Microelectronic Device Instructional Laboratory. Table of Contents

Mold Fabrication for 3D Dual Damascene Imprinting

micro resist technology

Fabrication Technology, Part I

RIE lag in diffractive optical element etching

Processing guidelines

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application

Electron beam and scanning probe lithography: A comparison

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Supporting Information

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

Introduction to Nanoscience and Nanotechnology

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK

Optimization of a Chlorine-Based Deep Vertical Etch of GaN Demonstrating Low Damage and Low Roughness

STATE-OF-THE-ART OF FOCUSED ION BEAM NANOLITHOGRAPHY

Si DRIE APPLICATION In Corial 210IL

Lecture 4 Lithography II

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Via etching in BCB for HBT technology

PLASMONIC STRUCTURES IN PMMA RESIST

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Today s Class. Materials for MEMS

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Photolithography I ( Part 2 )

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Fabrication of photonic band-gap crystals

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

Cold-developed electron-beam-patterned Zep 7000 for fabrication of 13-nm nickel zone plates

MCC. NANO PMMA and Copolymer

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

CYCLOTENE* 3000 Series Advanced Electronic Resins

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

Advanced Polymers And Resists For Nanoimprint Lithography

Three-dimensional SU-8 structures by reversal UV imprint

Technical Data Sheet Technisches Datenblatt

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Study of the resist deformation in nanoimprint lithography

UV15: For Fabrication of Polymer Optical Waveguides

MEMS Surface Fabrication

Bilayer, nanoimprint lithography

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Fabrication of Infrared Antennas using Electron Beam Lithography

Understanding. Brewer Science

Micro-Nano Fabrication Research

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Major Fabrication Steps in MOS Process Flow

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Silicon nitride deposited by ECR CVD at room temperature for LOCOS isolation technology

IC/MEMS Fabrication - Outline. Fabrication

Fe 2 O 3 on patterned fluorine doped tin oxide for efficient photoelectrochemical water splitting

Manufacturing substrate nano-grooves for studying cell alignment and adhesion

Colorado School of Mines PHGN/CHGN 435. Modules 1 and 2. Authors: Garrick Johnson Trevor Haak Carl DuBois Ethan Palay

Ultra High Barrier Coatings by PECVD

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Fabrication of Nanoscale Silicon Membranes on SOI Wafers Using Photolithography and Selective Etching Techniques:

A Nano-thick SOI Fabrication Method

Lect. 2: Basics of Si Technology

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Journal of Advanced Mechanical Design, Systems, and Manufacturing

How To Write A Flowchart

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Review of CMOS Processing Technology

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Self-aligned via and trench for metal contact in III-V semiconductor devices

Photolithography Process Technology

Fabrication and optical characterization of nano-hole arrays in gold and gold/palladium films on glass

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Use of SU-8 Negative Photoresist for Optical Mask Manufacturing

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

2008 Summer School on Spin Transfer Torque

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Chemical Vapor Deposition

Ultrafine silicon quantum wires fabricated by selective chemical etching and thermal oxidation

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Hybrid BARC approaches for FEOL and BEOL integration

Transcription:

Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography Falco C. M. J. M. van Delft a) and Jos P. Weterings Philips Research Laboratories, Prof. Holstlaan 4, 5656 AA Eindhoven, the Netherlands Anja K. van Langen-Suurling and Hans Romijn DIMES, Delft University of Technology, P.O. Box 5053, 2600 GB Delft, the Netherlands Received 1 June 2000; accepted 23 August 2000 A bilayer resist system, consisting of hydrogen silsesquioxane HSQ as negative tone electron e -beam resist top coat and hard baked novolak resist as bottom coat, has been investigated for its ability to yield high aspect ratio nanoscale structures. For comparison, single layer HSQ hard mask has been investigated for its resolution, contrast, and process latitude. In single layer HSQ, dense lines and spaces 1:1 have been resolved down to 20 nm and single lines have been obtained with widths less than 15 nm. Processing conditions which result in higher contrasts in HSQ also result in higher horizontal contrasts, i.e., in poorer process latitudes; this effect has previously been observed for other negative tone e-beam resists as well. In the bilayer combination, HSQ allows nanoscale structures with an aspect ratio exceeding 15 to be etched in hard baked novolak resist. Single lines with 800 nm height and 40 nm width, semidense lines and spaces 1:2 with 155 nm height and 25 nm width, and dense lines and spaces 1:1 with 130 nm height and 40 nm width have been patterned in this bilayer system. Both the single layer HSQ and the HSQ/novolak bilayer system appear to be suitable as e-beam resists for research on nanoscale gates in complementary metal oxide semiconductor CMOS and other devices. 2000 American Vacuum Society. S0734-211X 00 06106-0 I. INTRODUCTION In order to obtain deep structures in a device, plasma etching needs a thick, perpendicularly structured resist layer. Especially for high resolution work in electron e -beam lithography, the use of thick single layers of high contrast resist is hampered by the electron forward scattering. The use of bilayer resists or top surface imaging techniques can solve this problem and allows high resolution structures to be placed over topography as well. 1 Reproducible linewidths smaller than 30 nm are beyond the limits of present-day chemically amplified resists. Hydrogen silsesquioxane (HSiO 3/2 ) 8 HSQ has been reported by Namatsu et al. to behave as a negative tone e-beam resist, yielding 20 nm wide single lines with small linewidth fluctuations, due to its small molecule size. 2 In the work presented here, a bilayer resist system has been tested, using HSQ as an e-beam resist top coat and hard baked novolak resist as a bottom coat. The latter is structured by means of O 2 reactive ion etching RIE with the cross-linked SiO 2 network of the exposed HSQ as a mask. The hard baked novolak can be used as a conventional mask in subsequent dry etching steps. The resolution, contrast, and process latitude of single layer HSQ, which can be used directly as a hard mask, have been determined as well, both as a reference for the bilayer system and in order to further explore the limits of HSQ itself. II. EXPERIMENTS Silicon wafers were coated with trichloro phenyl silane primer in a vacuum vessel and baked for 120 s at 200 C on a Electronic mail: Falco.van.Delft@philips.com a hotplate. Novolak solutions in ethyl lactate HPR504 from Olin were, if necessary, diluted with ethyl lactate in order to obtain the desired thickness by means of spin coating on a CONVAC spinner. The standard solution resulted in a 900 nm thick layer after spinning for 45 s at 5000 rpm. The novolak layer was baked on hotplates consecutively for 120 s at 100 C, 120 s at 200 C, and 120 s at 250 C. For obtaining very thick layers, these spinning and baking steps were repeated several times. HSQ solutions in methyl isobutyl ketone MIBK FOx-12, Dow Corning were, if necessary, diluted with MIBK in order to obtain the desired layer thickness by means of spin coating on the CONVAC spinner. The FOx-12 solution resulted in a 140 nm thick layer after spinning for 45 s at 5000 rpm. The HSQ layer was prebaked on hotplates consecutively for 120 s at 150 C and 120 s at 220 C. The wafers were exposed in Philips-Leica Electron Beam Pattern Generators at 50 kv 4V-HR at Philips Research Eindhoven PRE with 20 pa beam current 15 nm spot size or at 100 kv 5HR100FEG at DIMES Delft with 680 pa beam current 5 nm spot size. Test structures of crossing lines and spaces were written at various exposure doses. 3 The wafers were developed by manual immersion at 20 C in 0.26 N tetramethyl ammonium hydroxide TMAOH developers PD523 JSR Electronics at PRE and MF322 Shipley at DIMES for 60 s unless otherwise stated, rinsed in 1:9 developer:h 2 O for about 5 s, 3 rinsed in demineralized water, and blown dry with N 2. In a few tests, the development step was performed in an ultrasonic bath. While using the structured HSQ layer as a mask, the novolak layer was etched by means of a 10 sccm 2 Pa O 2 plasma at 100 W power and 800 V bias Alcatel GIR-300 at PRE and 3419 J. Vac. Sci. Technol. B 18 6, NovÕDec 2000 0734-211XÕ2000Õ18 6 Õ3419Õ5Õ$17.00 2000 American Vacuum Society 3419

3420 van Delft et al.: HSQÕnovolak bilayer resist 3420 FIG. 1. a 40 nm 1:1 lines and spaces written in 100 nm thick HSQ at 50 kv, 500 C/cm 2 ; b 20 nm 1:1 lines and spaces written in 50 nm thick HSQ at 100 kv, 7000 C/cm 2. FIG. 2. a 25 nm wide single line written in 100 nm thick HSQ at 50 kv, 700 C/cm 2 ; b 15 nm wide single line written in 44 nm thick HSQ at 100 kv, 5500 C/cm 2. by means of a 20 sccm 0.4 Pa O 2 plasma at 20 W power and 200 V bias Leybold Z401S at DIMES. For single layer HSQ, the HSQ spin coating in the above mentioned flow chart was performed directly on the silicon wafer without using a primer. Scanning electron micrographs SEM graphs were made using Philips XL40FEG and XL30SFEG SEMs. The part of the HSQ mask that was still present after O 2 RIE was removed wet chemically in a 7:1 NH 4 F:HF buffered oxide etch Merck 1.01171 for 60 s and hard baked novolak was stripped in a Branson/IPC barrel etcher for 90 min using 200 sccm 133 Pa O 2 at 800 W and 200 C. III. RESULTS AND DISCUSSION First, the resolution limits for single layer HSQ on silicon have been determined. At 50 kv, dense lines and spaces 1:1 have been resolved down to 40 nm using a 10 nm beam step size four passes and a 500 C/cm 2 dose Fig. 1 a. At 100 kv, dense lines and spaces 1:1 have been resolved down to 20 nm using a5nmbeam step size single pass and a 7000 C/cm 2 dose Fig. 1 b. At 50 kv, single linewidths have been obtained down to 25 nm using a 10 nm beam step size four passes and a 700 C/cm 2 dose Fig. 2 a. At100 kv, single linewidths have been obtained down to 15 nm using a5nmbeam step size single pass and a 5500 C/cm 2 dose Fig. 2 b. At the resolution limits, the lines appear crooked, which phenomena may be stress related. The observed limits are in agreement with those of Namatsu et al., who obtained single lines of 20 nm width at 70 kv using a 7 nm spot size. 2 Recently, Maile et al. have obtained below 10 nm single linewidths in HSQ at 100 kv with a fine tuned EBPG5000 LEICA. 4 HSQ is suited for testing machine limits; unlike polymethylmethacrylate PMMA, it is stable in a SEM. In Fig. 3 a, contrast curves are shown for HSQ exposed at 50 kv and using various developing times, where d is the remaining resist thickness after exposure and development, d 0 is the original resist thickness, and D is the dose. The contrast value is defined as the slope (d/d 0 )/ (log D). In Fig. 3 b, single linewidths, normalized to d 0, are plotted for 500 nm wide designed lines as a function of dose, where w is the measured linewidth. The horizontal contrast is defined here as the slope (w/d 0 )/ (log D) ; this dimensionless parameter is the horizontal counterpart of the usual vertically measured contrast parameter. Also indicated in Fig. 3 b are the process latitudes, PLs defined as PL(%) J. Vac. Sci. Technol. B, Vol. 18, No. 6, NovÕDec 2000

3421 van Delft et al.: HSQÕnovolak bilayer resist 3421 FIG. 3. HSQ written at 50 kv with various developing times: a contrast curve; b normalized linewidth vs dose for 500 nm designed linewidth. Indicated are the contrast, horizontal contrast, and process latitude values. FIG. 4. a Horizontal contrast vs contrast for HSQ at various processing conditions; b horizontal contrast vs contrast for NEB22 at various processing conditions see Ref. 6. 100*(D 10% D 10% )/D 0%, where D n% is the dose where the linewidth measured n% of the coded linewidth, cf. Ocola et al. 5 As can be seen, a higher PL corresponds to a lower horizontal contrast for a given coded linewidth. Note that at a given horizontal contrast, the PL parameter is still dependent on the coded linewidth, because a range of 20% of the coded linewidth determines the process window. In Fig. 4 a, horizontal contrast data and their corresponding vertical contrast values are collected for various processing conditions development time and ultrasonic agitation. It shows that processing conditions which result in higher contrasts, result in higher horizontal contrasts as well, which corresponds to poorer process latitudes. This effect has previously been observed for other negative tone e-beam resists as well; for comparison, in Fig. 4 b a similar plot is shown for NEB22 negative tone e-beam resist SUMITOMO. 6 The ratio horizontal contrast/contrast is much higher for HSQ than for NEB22, which could be due to the higher electron scattering power of a silicon and oxygen matrix compared to a carbon and hydrogen matrix. Once this ratio has been determined for a given resist, the process latitude for a particular development condition can be determined from the contrast curve by measuring layer thicknesses without having to measure the linewidths in a SEM. Figure 4 a shows that ultrasonic agitation during development results in generally higher contrasts but, at the same time, in higher horizontal contrasts as well i.e., in poorer process latitudes. As ultrasonic agitation during development does not appear to be necessary for opening the smallest features and may even destroy them, it was not employed any further in the high resolution work described in this article. Next, HSQ was used as a top coat in a bilayer masking system. First, a 300 C hard baked polyimide bottom coat was tested, but this gave rise to nanograss in between the desired structures after etching in a pure oxygen plasma. The grass formation can be suppressed by adding CHF 3 or CF 4 to the O 2 plasma, but this introduces an undesired isotropic etch contribution and lowers etch selectivity. By using 250 C hard baked HPR504 novolak as a bottom coat, a pure O 2 plasma can be employed without nanograss formation and with an (r novolak /r HSQ ) etch selectivity exceeding 45. In Fig. 5 a, a 40 nm wide, 800 nm tall single line in hard baked HPR504 bottom coat is shown as obtained in the bilayer masking system for a 50 kv exposure, 1000 C/cm 2 dose, and 10 nm beam step size four passes. Figure 5 b shows a 500 nm period mesh of 50 nm wide 800 nm tall lines, as obtained for a 50 kv exposure, 300 C/cm 2 dose and 20 nm beam step size five passes. Figure 6 shows 300 JVST B-Microelectronics and Nanometer Structures

3422 van Delft et al.: HSQÕnovolak bilayer resist 3422 FIG. 5. 800 nm tall lines obtained in hard baked HPR504 after O 2 RIE, using 140 nm thick HSQ as a mask: a 40 nm wide single line 50 kv, 1000 C/cm 2 exposure in HSQ ; b mesh of 50 nm wide lines 50 kv, 300 C/cm 2 exposure in HSQ. nm wide and 3.2 m tall single lines in hard baked HPR504 four consecutively applied layers, as obtained for a 50 kv exposure, 2000 C/cm 2 dose, and 10 nm beam step size 50 passes. The etch selectivity as such is sufficiently high to structure the bottom coat, but in the GIR300 at 2 Pa O 2 pressure the ion/neutral forward scattering in the dark space between the plasma edge and the substrate on the rf electrode gives rise to an angular distribution of the incoming fast particles; this results in a gradual, though not isotropic, undercut, 7 which appears to limit the achievable aspect ratio of the lines. In Figs. 7 a and 7 b, 500 nm high, 50 nm wide 1:1 dense lines and spaces and 500 nm high, 75 nm wide 1:3 lines and spaces in hard baked HPR504 are shown, as obtained at 100 kv with 990 C/cm 2 dose and 10 nm beam step size five passes and with 450 C/cm 2 dose and 10 nm beam step size 10 passes, respectively, using a 0.4 Pa O 2 plasma. In Figs. 8 a and 8 b, 130 nm high, 40 nm wide 1:1 dense lines and spaces and 155 nm high, 25 nm wide semidense 1:2 lines and spaces in hard baked HPR504 are shown, both obtained at 100 kv with 5 nm beam step size FIG. 6. 300 nm wide and 3200 nm tall lines obtained in hard baked HPR504 after O 2 RIE, using 140 nm thick HSQ as a mask exposed at 50 kv with a 2000 C/cm 2 dose : a line end, b crossing. five passes, and with 1650 and 1350 C/cm 2 doses, respectively, using a 0.4 Pa O 2 plasma. In Figs. 7 a and 8 b the limits of mechanical stability have been reached at the edge of the novolak patterns, although HSQ would still allow smaller features. Ina40W1Pa12.5/10 sccm SF 6 /He plasma Leybold Z401S the etch rates of HSQ, hard baked novolak and silicon are 0.48, 0.85, and 2.7 nm/s, respectively. Together with the high etch selectivity of novolak over HSQ in O 2 RIE, and the feasibility of wet-chemical removal of the HSQ mask and of O 2 barrel etch removal of the novolak, this makes both the HSQ and the HSQ/novolak bilayer suitable as mask layers for nanoscale structuring by RIE. IV. CONCLUSIONS HSQ can be employed as a single layer direct hard mask, allowing dense lines and spaces with a resolution down to 20 nm and single lines with widths down to 15 nm and below 4 to be written at 100 kv. HSQ can also be used in a bilayer combination with hard baked novolak resist. In the latter case, the smallest achievable linewidths are dependent on the novolak layer thickness and the O 2 RIE step used. It was J. Vac. Sci. Technol. B, Vol. 18, No. 6, NovÕDec 2000

3423 van Delft et al.: HSQÕnovolak bilayer resist 3423 FIG. 7. a 500 nm high 50 nm wide 1:1 dense lines and spaces and b 500 nm high 75 nm wide 1:3 lines and spaces obtained in hard baked HPR504 after O 2 RIE, using 50 nm thick HSQ as a mask exposed at 100 kv with 990 and 450 C/cm 2 doses, respectively. FIG. 8. a 130 nm high 40 nm wide 1:1 dense lines and spaces and b 155 nm high 25 nm wide 1:2 lines and spaces obtained in hard baked HPR504 after O 2 RIE, using 44 nm thick HSQ as a mask exposed at 100 kv with 1650 and 1350 C/cm 2 doses, respectively. shown that processing conditions which result in higher contrasts also result in higher horizontal contrasts, and, hence, in poorer process latitudes for single lines in HSQ. ACKNOWLEDGMENT The authors gratefully acknowledge the SEM assistance of Frans Holthuysen. 1 F. A. Vollenbroek, in Microelectronic Polymers, edited by M. S. Htoo Marcel Dekker, New York, 1989, p. 386. 2 H. Namatsu, T. Yamaguchi, M. Nagase, K. Yamasaki, and K. Kurihara, Microelectron. Eng. 41Õ42, 331 1998. 3 F. C. M. J. M. van Delft and F. G. Holthuysen, Microelectron. Eng. 46, 369 1999. 4 B. E. Maile, W. Henschel, H. Kurz, B. Rienks, R. Polman, and P. Kaars, Proceedings of the Microprocesses and Nanotechnology Conference 2000, Tokyo; Jpn. J. Appl. Phys. submitted. 5 L. E. Ocola, C. J. Biddick, D. M. Tennant, W. K. Waskiewicz, and A. E. Novembre, J. Vac. Sci. Technol. B 16, 3705 1998. 6 A. J. van Dodewaard, W. S. M. M. Ketelaars, R. F. M. Roes, J. A. J. Kwinten, F. C. M. J. M. van Delft, A. J. van Run, A. K. van Langen- Suurling, and J. Romijn, Proceedings of the Micro- and Nano- Engineering 99, Rome; Microelectron. Eng. 53, 461 2000. 7 F. C. M. J. M. van Delft, J. B. Giesbers, and G. J. Nienhuis, Microelectron. Eng. 35, 75 1997. JVST B-Microelectronics and Nanometer Structures