Uncrosslinked SU-8 as a sacrificial material

Similar documents
FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

Thomas M. Adams Richard A. Layton. Introductory MEMS. Fabrication and Applications. Springer

COMPARATIVE ASSESSMENT OF DIFFERENT SACRIFICIAL MATERIALS FOR RELEASING SU-8 STRUCTURES

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Surface micromachining and Process flow part 1

Chapter 4 Fabrication Process of Silicon Carrier and. Gold-Gold Thermocompression Bonding

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Lamination-Based Technology For High Performance Metallic Magnetic Cores

Dr. Lynn Fuller Webpage:

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

Micromachining AMT 2505

Chapter 2 OVERVIEW OF MEMS

Mostafa Soliman, Ph.D. May 5 th 2014

Preface Preface to First Edition

Lab #2 Wafer Cleaning (RCA cleaning)

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

Manufacturing Technologies for MEMS and SMART SENSORS

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Fabrication Technology, Part II

Deep Lithography for Microfabrication

FABRICATION OF SWTICHES ON POLYMER-BASED BY HOT EMBOSSING. Chao-Heng Chien, Hui-Min Yu,

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Today s Class. Materials for MEMS

Microfabrication of Heterogeneous, Optimized Compliant Mechanisms SUNFEST 2001 Luo Chen Advisor: Professor G.K. Ananthasuresh

Lecture 10: MultiUser MEMS Process (MUMPS)

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Surface Micromachining

micro resist technology

CHARACTERIZATION OF THE FABRICATION PROCESS OF FREE-STANDING SU-8 MICROSTRUCTURES INTEGRATED IN PCBMEMS

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

SU-8 Overhanging Structures Using a Photoresist Sacrificial Layer and Embedded Aluminum Mask

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Physical Vapor Deposition (PVD) Zheng Yang

IC/MEMS Fabrication - Outline. Fabrication

Regents of the University of California 1

National Kaohsiung First University of Sci. & Tech. LIGA. MicroSystem Fabrication Lab.

Gaetano L Episcopo. Introduction to MEMS

Surface Micromachining

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Microelectronic Device Instructional Laboratory. Table of Contents

4/10/2012. Introduction to Microfabrication. Fabrication

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

UV15: For Fabrication of Polymer Optical Waveguides

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France

Micro Fabrication : Soft Lithography

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

Introduction to Nanoscience and Nanotechnology

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Cambridge University Press A Guide to Hands-on MEMS Design and Prototyping Joel A. Kubby Excerpt More information.

Regents of the University of California

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Microsystem Technology: A Survey of the State of the Art

Czochralski Crystal Growth

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Journal of Advanced Mechanical Design, Systems, and Manufacturing

4. Process Integration: Case Studies

GLM General information. Technical Datasheet

A GENERIC SURFACE MICROMACHINING MODULE FOR MEMS HERMETIC PACKAGING AT TEMPERATURES BELOW 200 C

Single crystal silicon supported thin film micromirrors for optical applications

HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS

Photolithography I ( Part 2 )

Design and Fabrication of Low Power Consumption Micro Fluxgate Sensor

Composite ferromagnetic photoresist for the fabrication of microelectromechanical systems

Thick-layer resists for surface micromachining

Final Project Report. Date Jan. 30 th, To: Kenji Homma, Adaptive Technologies, Blackburgh, Virginia.

Lecture 5: Micromachining

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

L5: Micromachining processes 1/7 01/22/02

Mikrosensorer. Microfabrication 1

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

MEMSAND MICROSYSTEMS Design, Manufacture, and Nanoscale Engineering

Gold Passivated Mechanically Flexible Interconnects (MFIs) with High Elastic Deformation

J. Vac. Sci. Technol. B 29 2, Mar/Apr /2011/29 2 /021401/6/$ American Vacuum Society

Advanced Polymers And Resists For Nanoimprint Lithography

Micromechanical testing of SU-8 cantilevers

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Chapter 3 Silicon Device Fabrication Technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Demonstration for integrating capacitive pressure sensors with read-out circuitry on stainless steel substrate

Electrodeposition of Magnetic Materials

Francesca Sorba and Cristina Martin-Olmos*

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

3D microfabrication with inclined/rotated UV lithography

Basic&Laboratory& Materials&Science&and&Engineering& Micro&Electromechanical&Systems&& (MEMS)&

Fabrication of 3D Microstructures with Single uv Lithography Step

Poly-SiGe MEMS actuators for adaptive optics

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Polymer-based Microfabrication

Transcription:

INSTITUTE OFPHYSICS PUBLISHING JOURNAL OF MICROMECHANICS AND MICROENGINEERING J. Micromech. Microeng. 15 (2005) N1 N5 doi:10.1088/0960-1317/15/1/n01 TECHNICAL NOTE Uncrosslinked as a sacrificial material Charles Chung and Mark Allen Microelectronics Research Center, 791 Atlantic Drive, Georgia Institute of Technology, Atlanta, GA 30332-0269, USA E-mail: cccatl@hotmail.com and mark.allen@ece.gatech.edu Received 26 November 2003, in final form 2 June 2004 Published 23 September 2004 Online at stacks.iop.org/jmm/15/n1 Abstract has gained wide popularity as a surface micromachining material, mostly due to characteristics of the epoxy in the crosslinked state. However, uncrosslinked also has interesting properties, particularly as a planar sacrificial layer in surface micromachined processes. Uncrosslinked can maintain a flat, stable surface for subsequent surface micromachining; it is chemically resistant to subsequent surface micromachining; and uncrosslinked can be removed selectively in the presence of a wide range of materials, including metals, semiconductors, oxides, ceramics and many polymers. The processing of uncrosslinked as a sacrificial layer is mostly unchanged from the conventional method. However, care must be taken to not to expose the to UV radiation when patterning and avoid significant movement of the uncrosslinked when taken above the glass transition temperature ( 65 C). In this paper, uncrosslinked as a sacrificial layer is demonstrated, the fabrication details are described, and an application is shown. 1. Introduction Surface micromachined, mechanically free microstructures often utilize a sacrificial layer to release the mechanically free part. Sacrificial layers have several necessary characteristics. They must provide a stable, planar platform for subsequent surface micromachining. They must be chemically resistant to subsequent processing. Finally, they must remove easily, cleanly and selectively to release the mechanically free structure. Only a few materials fulfill these requirements, the most widely used in the MEMS field being O 2 (Howe 1988, Monk et al 1992) and copper (Kim et al 1992). The introduction of high aspect ratio microfabrication technologies, such as epoxy (Lorentz et al 1997), has greatly expanded the accessible design space for micrometer scale systems. These micromachining technologies extend MEMS designs into the third dimension by tens or even hundreds of micrometers. However, neither O 2 nor copper scales well with the increasing thicknesses allowed by. The deposition of O 2 in layers thicker than a few micrometers is prone to severe internal stress. Copper sacrificial layers, on the other hand, may be deposited to thicknesses on the order of hundreds of micrometers, however the selective deposition and removal of the copper sacrificial layer becomes more time intensive with increasing thickness of the layer. Additionally, both of these materials impose significant fabrication constraints, e.g. O 2 requires elevated temperatures for deposition, and copper is often selectively removed with strong basic or acidic etchants for appreciable etch rates. Moreover, electrodeposited copper requires significant additional fabrication complexity, which entails deposition of an insulating layer, deposition of a seed layer, deposition and patterning of the electrodeposition mold, establishment of electrical contact to the seed layer, uniform and stress-free deposition of copper, and finally selective removal of all of the above materials. An alternative sacrificial material may be found upon closer examination of. Crosslinked is a negative resist and has a density of 1 190 kg m 3. Its Young s modulus varies with its processing, with a typical range of 0960-1317/05/010001+05$30.00 2005 IOP Publishing Ltd Printed in the UK N1

Cr PR NiFe NiFe Spin, pre-bake, and expose as usual. Perform a long post-exposure bake. Filament Evaporate Cr. Perform subsequent surface micromachining, e.g. deposit and pattern photoresist electroplating mold Electroplate upper structural material, e.g. NiFe Develop uncrosslinked to release structures. Figure 1. General process flow for using uncrosslinked as a sacrificial layer. 4 5 GPa ( 1999). Its characteristics in the crosslinked state make it highly suitable for a number of structural purposes that have been widely discussed in the literature, such as cantilever probes, ph sensors and waveguides (Microchem 2002). However, uncrosslinked also has a number of interesting properties. Below the glass transition temperature, T g 65 C, is highly chemically resistant, maintains a flat stable surface for subsequent photolithography, and because uncrosslinked is developed with solvents, it may be easily and selectively removed in the presence of a wide range of materials, such as semiconductors, metals, ceramics and certain polymers. nce uncrosslinked is deposited simultaneously with the crosslinked, a significant amount of fabrication complexity may be avoided, such as deposition of the insulating layer/seed layer/electroplating mold or deposition of thick layers (>10 µm) of O 2. Moreover, unlike O 2 or copper, the uncrosslinked sacrificial layer is naturally co-planar with the final structural material, insuring a flat, planar surface for subsequent layers of micromachining. Finally, a wide range of sacrificial layer thicknesses from 5 µm to 250 µm have been demonstrated by simply varying spin speed and pre-soft baked viscosity. 2. Fabrication process The typical method to process is to spin, pre-bake, expose, post-bake and immediately develop. However, if the development step is postponed, then the uncrosslinked remains and may be used as a sacrificial layer. The general process flow for using uncrosslinked as a sacrificial material is shown in figure 1. For the most part, the process remains unaltered, however the key changes are the long post-exposure bake and the chromium deposition. The long post-exposure bake minimizes the amount of reflow that the uncrosslinked undergoes, if taken above the glass transition temperature, T g 65 C. Without this step, if the uncrosslinked is taken to a high enough temperature, e.g. to soft-bake photoresist, then it reflows, which may move or deform structures above the uncrosslinked. A long post-exposure bake, on the order of several hours, depending on the thickness of the sacrificial layer, suppresses this flow. The displacement due to reflow may also be mitigated by having small areas of uncrosslinked, since large continuous areas allow greater displacements in the uncrosslinked. Chromium must be deposited on top of the to prevent exposure of the uncrosslinked sacrificial layer from subsequent lithography. At least 250 Å of chromium must be deposited for a sufficiently opaque layer. It should be noted that this thin film of Cr may also conveniently serve as an excellent adhesion layer for the next layer. is crosslinked by the release of protons from exposure to photons of UV energy or greater. As a result, no metal deposition technologies that expose the uncrosslinked to photons of these energies may be used. Both sputtering and electron beam metal deposition technologies emit high energy photons that can crosslink the unexposed. However, filament evaporators vaporize metal by resistively heating a crucible. If the temperature of the evaporated metal and crucible is low enough such that the emitted blackbody radiation has a sufficiently low amount of energy in the UV or above, then metal may be deposited on uncrosslinked without affecting it. Fortunately, at a pressure of 1 µtorr, Cr sublimates at approximately 977 C. At this temperature, the peak of the blackbody radiation is theoretically calculated to be approximately 4 µm, well in the infrared range, and therefore, virtually no crosslinking photons are generated. Observing the metal and crucible during deposition, the color is a dull orange-red, corroborating low energy photon radiation. Once the has metal deposited on it, subsequent lithography is possible since the crosslinked versus uncrosslinked differ in appearance. The Cr on top of the crosslinked appears smoother and more reflective. It is easily distinguished both by the naked eye as well as under magnification. Subsequent surface micromachining may then be continued on top of the. 3. Application The motivation for this exploration was to fabricate capacitive electrodes for a bulk-machined, torsional silicon oscillator. The electrodes function as both sensors and actuators. The requirements are that the electrodes must be conductive, mechanically stiff compared to the motion of the oscillator and electrically insulated from the silicon oscillator. In addition to these requirements, the performance of the device benefited from a large separation between the silicon and the metal electrode for two reasons. First, the electrode gap needed to be on the order of 125 µm to allow the oscillator sufficient amplitude in its motion. Second, the anchors for the capacitive electrodes should be separated from the silicon substrate as widely as possible to minimize N2

Table 1. Comparison of the sacrificial layer technique and the Cu sacrificial layer technique for the fabrication of suspended electrodes. Fabrication of the suspended NiFe electrodes Processing Cu sacrificial layer Processing sacrificial layer 1. Deposit insulator to 1. Spin, pre-bake, expose separate silicon substrate and post-bake from electrode 2. Deposit Cr using filament 2. Deposit electroplating evaporation to protect uncrosslinked seed layer, and deposit Cu seed layers for 3. Deposit photoresist NiFe electrodeposition (may be done plating mold for Cu without breaking vacuum) sacrificial layer 3. Deposit and define photoresist 4. Electroplate copper plating mold 5. Remove photoresist mold 4. Electroplate NiFe 6. Deposit another 5. multaneously remove uncrosslinked photoresist plating, seed layers and photoresist via mold for NiFe electrode lift-off (selective removal of each layer 7. Electroplate NiFe is also possible) 8. Remove photoresist mold 9. Selectively remove copper 10. Remove electroplating seed layers 11. Remove insulator Technical Note Table 2. Detailed account of fabrication of the NiFe electrodes. Clean silicon wafer using RCA clean Spin Microchem 2025 (1000 rpm/30 s) Soft-bake on hotplate (ramp from room temperature to 100 C/hold at 100 Cfor1h) Expose (50 s at 20 mw cm 2 ; i-line) Post-bake on hotplate(100 C/ 12 h) Deposit Cr/Cu/Cr electroplating seed layer with filament evaporator (500 Å at the rate of 3 Ås 1 for Cr and 2500 Å at the rate of 3 Ås 1 for copper) Spin Clariant AZ4620 photoresist for plating mold (1000 rpm/30 s) Allow AZ4620 to rest for 5 min Soft-bake photoresist on hotplate (100 C/8min) Expose photoresist (8 min/5mwcm 2 at 365 nm) Develop photoresist in AZ400 (diluted 3:1) for 3min Dip wafer in dilute HCl to remove protective layer of Cr Electroplate NiFe (35 µm) multaneously remove, photoresist and seed layers using Shipley 1112 photoresist stripper for about 25 min (10 min with agitation). At the beginning, do a quick dip in an ultrasound bath to break up the seed layer. (Each layer may be removed individually with acetone/methanol for photoresist, NH 4 OH + CuSO 4 for copper, dilute HCl for Cr and PGMEA for the uncrosslinked ) parasitic capacitance between the upper electrode and the silicon substrate. A mechanically stiff, yet conductive upper electrode was fabricated using electroplated metal. The electrodeposition process enables a thick, relatively stress-free conductive layer to be deposited. Given the dimensions of the electrode, 3 mm long and 250 µm wide,a25 µm thick layer was sufficiently stiff to be an order of magnitude stiffer than the torsional springs that supported the oscillating silicon body. A typical method to fabricate these electrodes would be to use a Cu sacrificial layer. However, using our sacrificial layer can reduce the process time and process complexity significantly. A comparison of the two methods is detailed in table 1, and a detailed account of how the electrodes were fabricated is summarized in table 2. A fabrication flow is illustrated in figure 1. First, is spun, soft-baked and exposed. Then the undergoes a longer than usual, typically a few hours, post-exposure bake to relax stress in the uncrosslinked. Next, a layer of Cr at least 250 Å is filament evaporated to protect the uncrosslinked from subsequent lithography. For electroplating, the seed layer may also be deposited at this step without breaking the vacuum. Photoresist for the electrodeposition mold is then spun, baked, exposed and developed as usual. NiFe is then electroplated. Removal of the unneeded materials may be done in two ways. The first method is to remove each material one-by-one sequentially. The photoresist mold may be removed with acetone. The copper seed layer may be removed with a saturated solution of CuSO 4 and NH 4 OH. The protective Cr layer may be removed with a solution of sodium hydroxide and potassium permanganate. And, finally, the sacrificial layer may be removed by finally developing the using the usual developers, e.g. PGMEA. The second method uses lift-off and is faster and easier than the first method. After the NiFe is electroplated, the photoresist mold is removed using acetone. Removal of the uncrosslinked also simultaneously removes the seed layers. The unneeded seed layer material is lifted off with the removal of the uncrosslinked. In this method, ultrasonic N3

Figure 2. Overview of upper NiFe electrodes for silicon oscillator. The uncrosslinked has been removed. Multiple electrodes are fabricated so that some may serve as actuators and others as capacitors. The process flow from figure 1 was used in the fabrication. Figure 4. Close-up of the stand-off that insulates the upper electrode from the silicon substrate. The structure is 125 µm thick and the electroplated NiFe is approximately 35 µm thick. The thickness of the layer allows for a minimum parasitic capacitance and a maximum actuation voltage. y x Figure 3. de view of the electrodes. The uncrosslinked is clearly removed, since it is possible to see through, underneath the NiFe electrodes. agitation to disrupt the seed layer is helpful to allow chemical contact between the uncrosslinked and the developer. A view of the electrodes from overhead is shown in figure 2. Figure 3 shows that the uncrosslinked SU- 8 is completely removed from underneath the electrode. Figure 4 shows a close-up of one of the electrodes from the side. The height of the is approximately 125 µm and the thickness of the NiFe is approximately 35 µm. This fabrication technique may be additionally used in conjunction with deep reactive ion etching. Once the electrodes were fabricated and the uncrosslinked was removed, a photoresist mask for the oscillator was patterned on the back side of the wafer. The silicon was then etched from the back side through the wafer using deep reactive ion etching. A picture of the electrodes with the bulk-machined silicon is shown in figure 5. Figure 5. The silicon oscillator is etched out of the bulk silicon using deep reactive ion etching. The center is electrostatically actuated by the comb drives arranged radially about the center. The center body rotates about an axis perpendicular to the surface, and when the chip is rotated about the y-axis, the center body s movement gyroscopically induces oscillations in the outer oscillator, which rotates about the x-axis. The motion of the outer member is allowed by the removal of the uncrosslinked underneath the electrodes (Kaiser et al 2000). The NiFe electrodes serve as both capacitive sensors and electrostatic actuators, and they are insulated from the substrate by 125 µm of crosslinked. 4. Conclusion Uncrosslinked as a sacrificial material has been demonstrated. The process is mostly unchanged from the typical process, however there are a few changes to which attention must be paid, i.e. a long post-exposure bake and filament evaporation of chromium. Also, this sacrificial layer cannot be exposed to radiation intense environments or temperatures above 120 C, since these conditions will cause the sacrificial layer to crosslink. When applicable, N4

uncrosslinked sacrificial layers may reduce fabrication time and complexity. This technique seems particularly well suited for applications that require sacrificial layers on the order of tens or hundreds of micrometers thick. This technique has been demonstrated to work at thicknesses ranging from 5 µm to 250 µm. Finally, an application of this technique was illustrated and its compatibility with deep reactive ion etching of silicon is demonstrated. References Howe R T 1988 Surface micromachining for microsensors and microactuators J. Vac. Sci. Technol. B 6 1809 13 Kaiser T J and Allen M G 2000 Micromachined pendulous oscillatory gyroscopic accelerometer Solid-State Sensors and Actuators Workshop (June) pp 85 88 Kim Y W and Allen M G 1992 ngle and multi-layer surface micromachined platforms using electroplated sacrificial layers Sensors Actuators A 35 61 8 Lorentz H, Despont M, Fahrni N, LaBrianca N, Renaud P and Vettiger P 1997 J. Micromech. Microeng. 7 121 4 Microchem 2002 http://www.microchem.com/index.htm Monk D J, Sloane D S and Howe R T 1992 LPCVD silicon dioxide sacrificial layer etching for surface micromachining Smart Material Fabrication and Materials for Micro-Electro-Mechanical Systems (San Francisco, CA, 28 30 April) pp 303 10 1999 http://aveclafaux.freeservers.com/.html N5