THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Similar documents
MATERIALS ROADMAPS FOR ADVANCED SEMICONDUCTOR DEVICES: MATERIAL MARKET TRENDS & OPPORTUNITIES

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

Shedding Light on Critical Materials Down Turn? Up Turn? Which way now? By Lita Shon-Roy TECHCET Group, LLC.

Linx Consulting, Inc.

Chapter 3 Silicon Device Fabrication Technology

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

New Materials as an enabler for Advanced Chip Manufacturing

Lam Research Corporation

Czochralski Crystal Growth

OUTLOOK FOR ADVANCED CLEANS

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

EECS130 Integrated Circuit Devices

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

2006 UPDATE METROLOGY

John Housley Techcet Group, LLC Los Gatos, CA

2009 Market Slurries and Particles in CMP & a Bit Beyond

Overview of Dual Damascene Cu/Low-k Interconnect

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

Lecture #18 Fabrication OUTLINE

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

INTERNATIONAL TECHNOLOGY ROADMAP

Lecture 22: Integrated circuit fabrication

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

New Materials and Processes for Advanced Chip Manufacturing

200mm Next Generation MEMS Technology update. Florent Ducrot

Complementary Metal Oxide Semiconductor (CMOS)

VLSI Technology. By: Ajay Kumar Gautam

Memory Innovation Made Possible by Suppliers

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

The History & Future of

Prospect of Si Semiconductor Devices in Nanometer Era

ASML - A strong company on a growth trajectory

CMP MARKETS & VALUE CHAIN PERSPECTIVES

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EUV Products and Business Opportunity

EUV Products and Business Opportunity

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

Copper Interconnect Technology

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 143 CMOS Process Flow

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Atomic Layer Deposition(ALD)

EE 434 Lecture 9. IC Fabrication Technology

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

ECE321 Electronics I

FOR SEMICONDUCTORS 2007 EDITION

Process Challenges for 1S-1R Crossbar Memory

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

ALD/CVD High-k Metal TECHCET. A Critical Materials Report. Prepared by Jonas Sundqvist, Ph.D. Edited by Lita Shon-Roy.

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

SKW Wafer Product List

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

FOR SEMICONDUCTORS 2009 EDITION

W Metallization in a 3-D Memory

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

CMOS Processing Technology

CMOS Processing Technology

Graduate Student Presentations

Lithography options for the 32nm half pitch node. imec

Emerging Materials for Front End IC Process

Atomic Layer Deposition (ALD)

Doping and Oxidation

Physical Vapor Deposition (PVD) Zheng Yang

450mm Innovations and Synergies for Smaller Diameters Dr. Mike Czerniak, Global Product Manager, Edwards EMS Business Presented by Steve Cottle,

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Make sure the exam paper has 9 pages total (including cover page)

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Fabrication and Layout

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

UHF-ECR Plasma Etching System for Gate Electrode Processing

Schematic creation of MOS field effect transistor.

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Implant And Annealing Process Integration Issues To Reduce Device Variability For <10nm p+ & n+ Ultra-Shallow junctions

Lecture 0: Introduction

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

More on VLSI Fabrication Technologies. Emanuele Baravelli

Theory and Technology of Semiconductor Fabrication

INTEGRATED-CIRCUIT TECHNOLOGY

Fabrication Technology, Part I

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

Wet Chemicals and Specialty Cleaning Chemistries

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Chemical Vapor Deposition

INNOVATION DRIVING GROWTH. Winter 2018

Chapter 2 MOS Fabrication Technology

Alternate Channel Materials for High Mobility CMOS

Despite Hype, Atomic Layer Deposition Shows Promise

Transcription:

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES L. Shon Roy K. Holland, PhD. October 2014

Materials Examples Process materials used to make semiconductor devices Gases etching, doping Wet chemicals cleaning / Residue removal CMP Consumables Metals sputter targets, CVD/ALD precursors Dielectric Precursors low K / high K Wafers Etc. 2

Outline Device Technology Metamorphasis to 3D MPU shift to 3D needs for new/more materials Materials Opportunities / Forecasts Memory / NVM shift to 3D and impact on materials Materials Opportunities / Forecasts Ripe Opportunities Summary 3

Disclaimer This presentation represents the interpretation and analysis of information generally available to the public or released by responsible agencies or individuals. Data was obtained from sources considered reliable. However, accuracy or completeness is not guaranteed. This report contains information generated by Techcet by way of primary and secondary market research methods. La Bastille, Grenoble France 4

IC Technology Roadmap Evolutions/Revolutions Note Node is nm performance, physical is GLph Non-Volatile 1X & 1Z nm Shrink Planar NAND Non-Volatile 80-30nm features 3D NAND (BiCS, TCAT, etc.) Charge Trap Flash in Vertical Plane also called 3D or V-NAND Non-Volatile <10nm CNT? PCM 3D/V NAND Extend for 5+ yrs with 16 to 256 layers RAM & Non Volatile? 18-15nm STT-MRAM DRAM 32-28nm Vertical Capacitors DRAM 26-16nm HκMG + Si Fin Continue DRAM Shrink w/ MPU 20nm Planar SOI Hκ/MG 14nm TriGate 14/16nm FinFET-STI 10nm Fin w/ STI, channel change? 7nm III-V or Ge? EUV 7nm? 450mm 7nm? 2013 2014 2015 2016 2017 2018 2019 5

2014 to 2019 Technologies Opportunities MPU Multi patterning for smallest dimension features <28nm High k Gate Dielectric used with Metal Gate Electrode DRAM 1X, 1Z Aggressive scaling, requiring more multipatterning Flash 2D 16nm gates requiring more multipatterning Transition to 3D NAND similar challenges to MPU for 3D structures but with larger design rules, > 20nm. More/Better: MP Dielectrics, Cleans, litho, ALD 6

Advanced Transistor Channel Implications Est. 1 st HVM 2014 2016 2018 2020 1 st Ship 2014 2015 2016 Ge or III V? 2017 TFET. GAA or 2020 FinFET with STI and non implant Si Doping STT? Technical Challenges FinFET Formation Adequate Hard Mask Si Etch Profile for 2 Step-Etch Si Fin Surface Roughness & Damage Etch Residues Post Etch Cleans w/o Defects or Pattern Damage Uniform In Situ Si Fin Doping / Strain Doping Uniformity Profile Analyses STI / Gapfill Dielectrics More Spin on? Need for More and Better Multipatterning Dielectrics and Cleans, selective etchants Composite from numerous publications with roadmaps Need for More Photoresist and ALD processes 7

Advanced Lithography Implications Est. 1 st HVM 2014 2016 2018 2020 Litho 193i SIT Dbl & Quad Patterning & Self Assembly & EUV? Cost, Control & Reproducibility Without EUV Sidewall Image Transfer (SIT) Deposition and Etch-Back Control Double/Quad Patterning Multi Litho - incr Dep, Etch, Strip 2-4 X Photoresist Materials Etch CD Control Dep Coverage Uniformity Cleans: Particle and Damage Free CD, Overlay & Defect Metrology Directed Self Assembly Specific Location / Geometry Patterns Metrology and Defect Analyses before Develop EUV (first planned for 32nm, now expected < 10nm Node ) Masks Detecting, Controlling & Repairing Defects Improved Exposure Dose for Throughput EUV Multi Patterning required for smallest features 250% 200% 150% 100% 50% 0% Photoresist Critical Layers 2011 2012 2013 2014 2015 2016 2017 2018 2019 g line i line 248/ArF 193/KrF 193/ 193i 193i EUV/ 193i EUV Source: Techcet Group 9

Advanced MCU Interconnect Challenges/Opportunities Est. 1 st HVM 2014 2016 2018 2020 Barrier Metal PVD Transition to CVD to Co & eventually ALD Low κ & Ultra Low κ & Porous Low κ Insulators for Interconnects Cu Resistivity of Smallest Features Thin Effective Barrier Metals CVD Ta self aligned Co? Optimization of Cu Plating to Improve R s Ultra Low κ & Porous Low κ - Optimized Process & Materials - Etch Profiles, Metal Diffusion into dielectric - Reduce κ eff - Adequate Mechanical Strength Composite from numerous publications with roadmaps Note: There are 8 to 14 Metal Interconnect Levels for MPU. For new interconnect technologies, interconnect levels > 2x transistor process steps. TiN intrusion on unsealed porous LowK 11

Etch /Dep Key Challenges for 3D NAND This way to the Bastille 13

2014 to ~2018 Non Volatile Technologies To achieve smaller foot print devices NAND is going 3D. What was approaching 11nm can now be 20nm 30nm with 3D. More defect & process control concerns Even More aggressive films control (deposition) Even More aggressive etching techniques Need for More effective residue removal 3D NAND (2014 earliest shipments) pressing forward to higher density, 50 to > 150 layers leading to higher AR and etch/dep challenges 14

Non Volatile Technology Roadmap Est. 1 st Ship 2014 2015 2016 2017 2018 Non-Volatile 1x 1z NAND Non-Volatile >30 nm 3D NAND (BiCS, V-NAND, TCAT) Extend for >5 yrs w/ more layers CrossPoint RAM & Non Volatile STT-MRAM? CBRAM? PCM? ReRAM (MVO)? <10nm CNT? PCM Composite from numerous publications with roadmaps 15

Ripe Opportunities Summary Continued need to shrink driving FLASH to go 3D DRAM to go to FINFET for sub 20nm devices MPUs to integrate FINFETs for 22nm devices Increased use of ALD and Hi K / ALD materials although no new materials until 2019 or beyond. Increased use of and better gapfill / STI materials Multi patterning will continue through all technology nodes, driving need for better hard mask materials, > 2X in volume in 3yrs increased volume usage of photoresist 10%+ Increased volume and new blends of specialty cleaning chemistries; $381M by 2020. Interconnect layers will continue to grow Porous low K More ALD barriers, More CMP Consumables 18

Other Materials for 2019 and Beyond? Logic Transition Si to Higher Mobility Channels at 7nm (less likely at 10nm), i.e. Ge or III V EUV resists + Multi Patterning, Directed Self Assembly Higher k Gate Dielectric and Different Metal Gate Electrode Memory A variety of new materials will be needed to support new device technologies PCM, CNT, STT, ReRAM, RedOx, etc. 19

Acknowledgements SEMI Dan Tracy, Ph.D. VLSI Research Risto Puhakka Sematech Critical Materials Council Techcet Group Analysts Karey Holland, Ph.D. Chief Technical Officer Advanced Materials / CMP Consumables Chris Michaluk Director of Business Development Targets, Metals Supply Chain Gerald Elder, Ph.D. Sr. Technology Analyst Photoresist/Ancillaries Yu Bibby, Ph. D. Technology Analyst Wet Chemicals, Rare Earths/Precious Metals Supply Chain Ralph Butler Technology Analyst Silicon Wafers Chris Blatt Sr. Market Analyst Packaging, Electronic Gases Jiro Hanaue Sr. Technology Analyst Equipment Consumables, Quartz, SiC, Si, etc. Gary Ray, Ph.D. Sr. Technology Analyst Interconnect Technology / Packaging John Housley Sr. Business Advisor 20

Thank you! 21