Next Generation Source Power Requirements. Erik R. Hosler

Similar documents
EUV Products and Business Opportunity

EUV Products and Business Opportunity

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

EUV Masks: Remaining challenges for HVM

EUVL Advancements Toward HVM Readiness

Laser Produced Plasma for Production EUV Lithography

Key Technologies for Next Generation EUV Lithography

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

DUV Products and Business Opportunity

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

Metal Oxide EUV Photoresists for N7 Relevant Patterns

EUV Source Supplier Update, Gigaphoton

1 st generation Laser-Produced Plasma 100W source system for HVM EUV lithography

Industry Roadmap and Technology Strategy

FEL Irradiation Tolerance of Multilayer Optical System

EUV optics lifetime Radiation damage, contamination, and oxidation

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

PROCEEDINGS OF SPIE. Increasing EUV source efficiency via recycling of radiation power

Development Status of High Power EUV Light Source Development for Exposure in Semiconductor Mass Production

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

PRESENT STATUS OF HIGH-POWER LPP-EUV SOURCE FOR HVM

Peter Wennink Good morning / good afternoon ladies and gentlemen and thank you for joining us for our Q results conference call.

High-throughput three-dimensional (3D) lithographic microfabrication in biomedical applications

Roadmap in Mask Fab for Particles/Component Performance

One Hundred Watt Operation Demonstration of HVM LPP-EUV Source

HIGH POWER HVM LPP-EUV SOURCE WITH LONG COLLECTOR MIRROR LIFETIME

Lithography options for the 32nm half pitch node. imec

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

CD-1, Approval of Preliminary Baseline Range for the Linac Coherent Light Source at the Stanford Linear Accelerator Center

Fabrication Technology, Part I

2015 International Workshop on EUV Lithography

Fiber Lasers: Technology, Applications and Associated Laser Safety

Company Strategy, Market Trends and Stakeholder Value

A TECHNICAL ADDENDUM F. to the MEMORANDUM OF UNDERSTANDING. between the STANFORD LINEAR ACCELERATOR CENTER. and the

Introduction to Lithography

Control technology of EUV Optics Contamination:

ENERGY JITTER MINIMIZATION AT LCLS*

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

Femtosecond laser pre-pulse technology for LPP EUV source

Droplet-based EUV LPP Source for High Volume Metrology

Opening Investor Day

Lessons Learned from SEMATECH s Nanoimprint Program

Performance and Scaling of a Dense Plasma Focus Light Source for EUV Lithography.

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Customer Support: Leveraging Value of Ownership

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

High Power Operation of Cryogenic Yb:YAG. K. F. Wall, B. Pati, and P. F. Moulton Photonics West 2007 San Jose, CA January 23, 2007

The future of surface treatments at ASML

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

FIB mask repair technology for EUV mask 1. INTRODUCTION

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Micro- and Nano-Technology... for Optics

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

LUSI Status LCLS SAC February 13, J. B. Hastings

Low energy electron bombardment induced surface contamination of Ru mirrors

Promising Lithography Techniques for Next-Generation Logic Devices

High-power DPP EUV source development toward HVM

Evaluation of Polymer Membrane Deformable Mirrors for High Peak Power Laser Machining Applications

EUV Technology, Martinez, CA

Fabrication and Layout

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

Conversion efficiency of 6.X nm Emitted from Nd:YAG and CO 2 Laser Produced Plasma

High-accuracy laser mask repair technology using ps UV solid state laser

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

Annual General Meeting of Shareholders. 24 March 2010

EUVL Contamination Control: What Research and Development is Needed for HVM?

Introduction to Nanoscience and Nanotechnology

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

ASML - A strong company on a growth trajectory

Plasma cleaning of lithium off of collector optics material for use in extreme ultraviolet lithography applications

2015 TECHNICAL SUMMARIES

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

2006 UPDATE METROLOGY

Verfahrens- und Systemtechnik zum präzisen Hochleistungsabtrag mit UKP-Lasern

2008 Summer School on Spin Transfer Torque

Development of Silicon Pad and Strip Detector in High Energy Physics

Solutions with Light. Energy and environment, Information and communication, Healthcare and medical technology, Safety and mobility.

Supporting Information for

Experts in Femtosecond Laser Technology. DermaInspect. Non-invasive multiphoton tomography of human skin

In-situ Metrology for Deep Ultraviolet Lithography Process Control

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

SIMULATION OF HIGH POWER DEPOSITION ON TARGET MATERIALS: APPLICATIONS IN MAGNETIC, INERTIAL FUSION, AND HIGH POWER PLASMA LITHOGRAPHY DEVICES

Grazing-Incidence Metal Mirrors for Laser-IFE

Amorphous and Polycrystalline Thin-Film Transistors

EECS130 Integrated Circuit Devices

Advanced Lithography Updates and Challenges for Metrology and Inspection

More on VLSI Fabrication Technologies. Emanuele Baravelli

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

A Survey of Laser Types. Gas Lasers

Electron Beam Induced Processes and their Applicability to Mask Repair

Miniature fibre optic probe for minimally invasive photoacoustic sensing

FOR SEMICONDUCTORS 2007 EDITION

Transcription:

Next Generation Source Power Requirements Erik R. Hosler

What will we need at the 3 nm node and beyond? Can laser produced plasma sources continue the roadmap?

Needs to future EUV manufacturing Lithography Performance Resolution Sub-30 nm pitch LWR/LER LCDU Stochastics Technology Enablement Not a stop-gap for process complexity EUV needs to enable technology Change of mentality: NOT what layers need EUV BUT what layers can be enabled Productivity Beyond EUV Insertion Cost parity driven to match LELE Longevity to vertical architecture transistors GLOBALFOUNDRIES Public 3

Now Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101431I (5 May 2017); doi: 10.1117/12.2258628 GLOBALFOUNDRIES Public 4

Multi-color (LELELE) Design (EUV Printable) Dose Scaling with Technology and Wafer Throughput As target dimensions shrink High-NA option higher dose NA 0.33 EUV multi/self-aligned patterning Self-Aligned (SAxP +cuts) Challenge of the middle-of-the-line (MOL) ~2x mask increase per technology generation OVL and Alignment Self-aligned techniques (SAxP) + cuts Design and Process Complexity EUV can reduce the number of cut, contact and via masks Must be cost competitive GLOBALFOUNDRIES Public 5

What EUV source will drive next generation fabs? Advanced Laser Produced Plasma Free-Electron Lasers GLOBALFOUNDRIES Confidential 6

Next Generation Fabs Necessary infrastructure changes Larger fabs Increased CapEx investment in facility and equipment High-NA tools, greater productivity at 0.33 NA or increased number of EUV tools? Pirati, A., et al. Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101430G (27 March 2017); doi: 10.1117/12.2261079 GLOBALFOUNDRIES Confidential 7

Throughput Source Power pulse energy scan speed Optics performance Product layout Overall Equipment Effectiveness (OEE) Fab Operations Wafers out per day - averaged collector degradation service time Cost per wafer Day 4 99.19 1609 5.4 5 98.92 1604 Layer 16.7 Dose 1 (mj/cm 2 ) # Layer 1 Pupil Efficiency (%) Scan Speed (cm/s) Layer 1 Pulses Fired (Gp) Time Layer 1 (s) Total Costs Collector Reflectivity (%) Total EUV OpEx Cost (M ) Total NXE:3400 Cost (M ) Depreciation Timescale (yrs) Annual Total EUV Cost (M ) Cost Per wafer out ( ) Capacity Model Productivity (WPD) Pulse Fired (GP) 1 100.00 1622 1.4 2 99.73 1618 2.7 3 99.46 1613 4.1 Throughput Source Power (W) Pellicle Transmission Pellicalized Source Power Duty Cycle (%) Field_x (mm) Field_y (mm) Field Utilization Scanner Utilization Overall equipment effectiveness Dose Margin (%) Pulse Energy (mj) EUV Transmission Divisor SLIE (mj/cm) Die Length plus overscan (cm) Dies/Wafer Die OH (s) Wafer OH (s) Lot OH (s) Lot Size (wfs) f_source GLOBALFOUNDRIES Public 8

Source Power (W) Dose Scaling and Productivity double patterning Approximate throughput calculation for either EUV LELE or high-na Assume improvements in source uptime and servicability Improvement in optics column Pellicle is required, 90% transmission at all interfaces Source power approaching 1 kw would be preferred 0.6 Patterning Cost per layer - Dose v. Power 1 40 45 50 55 60 65 70 75 200 0.99 1.05 1.12 1.19 1.25 1.31 1.38 1.45 300 0.88 0.93 0.98 1.04 1.09 1.14 1.20 1.25 400 0.83 0.87 0.92 0.97 1.01 1.06 1.10 1.15 500 0.80 0.84 0.88 0.92 0.96 1.01 1.05 1.09 600 0.78 0.81 0.85 0.90 0.93 0.97 1.01 1.05 700 0.76 0.80 0.84 0.87 0.91 0.95 0.99 1.02 800 0.75 0.78 0.82 0.86 0.89 0.93 0.97 1.00 0.8 1 Dose (mj/cm 2 ) Cost Parity 1.2 1.4 GLOBALFOUNDRIES Public 9

Advanced LPP EUV Source Architectures

Gigaphoton Increased conversion efficiency and increased drive laser power Beyond 400-500 W requires strides in architecture development Mizoguchi, H., et al. Proc. SPIE 10097, High- Power Laser Materials Processing: Applications, Diagnostics, and Systems VI, 1009702 (22 February 2017); doi: 10.1117/12.2261075 GLOBALFOUNDRIES Public 11

ASML-Cymer: Establishing a history of execution Proc. SPIE 10143, Extreme Ultraviolet (EUV) Lithography VIII, 101431I (5 May 2017); doi: 10.1117/12.2258628 GLOBALFOUNDRIES Public 12

Free-Electron Lasers

Customer Site Commitment Calibration Tool Exposures Re-task Existing Site Calibration EUV FEL DEMO Return Test Site Free-Electron Lasers HVM N3 2016 2017 2018 2019 2020 2021 2022 2023 N3 Injector SRF and Undulator Prototype FEL EUV Exposures Develop S/W High-NA EUV HVM Alpha FEL HVM Deployment Site Permits Conventional Facilities Cryogenic Plant Install SRF & Undulator Install SRF & Undulator Fabrication EUV Optics Install GLOBALFOUNDRIES Public 14

Development of a Lithography-based FEL Scorecard Evolving evaluation of various FEL options FEL emission architecture will drive different bounds SASE: self-amplified spontaneous emission SS-FEL: self-seeding RAFEL: regenerative amplifier FEL FEL requirements will drive accelerator specifications Metric Bounds e - Beam Energy ± x de/e FEL; e - Beam Pointing Stability ± x μm Magnetic Field ± %K Electron Beam Emittance ± %Δε mm mrad EUV/e - Beam Matching ± e - BL/x Output Pointing Stability ± x μm Peak Intensity Maximum x W/cm 2 Lithographers Accelerator/FEL Physicists Scorecard needs to be evaluated for each accelerator and FEL emission architecture Output Pulse Energy ± x μj GLOBALFOUNDRIES Public 15

RAFEL-1 Power (GW) SASE Normalized Spectral Intensity MLM Reflectance FEL Emission Architecture Base Configuration Comparison 1E+1 SASE has the most rapid build-up SS-FEL and RAFEL yield a narrower output spectrum All outputs are well within the standard EUV Mo/Si multi-layer mirror bandwidth Photon flux spatial distribution is tightest for RAFEL Power 1.0 0.8 0.6 0.4 0.2 Output Spectrum SASE SS-FEL RAFEL (Trip-16) MLM Reflectivity 0.0 90.8 91.0 91.2 91.4 91.6 91.8 92.0 92.2 Output Spectrum (ev) 80% 70% 60% 50% 40% 30% 20% 10% 0% 1E+0 1E-1 1E-2 SS 1E-3 1E-4 1E-5 1E-6 1E-7 RAFEL trip1 RAFEL trip2 RAFEL trip3 RAFEL trip4 RAFEL trip5 SS Power SASE Power 0 10 20 30 40 Undulator Length (m) RAFEL-16 GLOBALFOUNDRIES Public 16

Evaluation of planned Lithography-based FEL Scorecard Baseline FEL emission architecture were defined and are currently being explored in detail SASE Evaluated for several parameters, more robust to fluctuations, higher variation in photon energy SS-FEL Improve monochromator design, evaluate similar parameters as with SASE More sensitive to fluctuations More critical parameters RAFEL Narrow output spectrum Acceptable performance within expected stability Recirculating overlap of electron-euv beam critical Metric Bounds e - Beam Energy ± 0.4% de/e Magnetic Strength Parameter (K) ± 2 x 10-4 % e - Bunch Emittance (ε x,y ) ε < 0.3 mm mrad EUV/e - Beam Matching (SS-FEL) ± e - BL/3 EUV/e - Beam Matching (RAFEL) ± << e - BL/3 Output Pointing Stability ± 5 μm Peak Intensity Maximum <500 mj/cm 2 Output Pulse Energy ± 11 μj Lithographers Accelerator Physicists GLOBALFOUNDRIES Public 17

Disruptive technologies

Considerations at 3nm and beyond

Conclusions Source power must scale beyond 250W Pellicles must follow w.r.t. survivability Potential for continued LPP scaling Disruptive sources still possible to intercept next major architecture change What should be the target source power (w/pellicle) for each progressive technology? 7 nm 250 W 5 nm 350 W 3 nm 500 W 2 nm 1 kw Beyond? GLOBALFOUNDRIES Public 20

Thank you Erik R. Hosler, Lead EUV Technologist - Member of the Technical Staff Erik.Hosler@GLOBALFOUNDRIES.com (518) 305-1963 [F8], (717) 215-4964 [Mobile] The information contained herein [is confidential and] is the property of GLOBALFOUNDRIES and/or its licensors. This document is for informational purposes only, is current only as of the date of publication and is subject to change by GLOBALFOUNDRIES at any time without notice. GLOBALFOUNDRIES, the GLOBALFOUNDRIES logo and combinations thereof are trademarks of GLOBALFOUNDRIES Inc. in the United States and/or other jurisdictions. Other product or service names are for identification purposes only and may be trademarks or service marks of their respective owners. GLOBALFOUNDRIES Inc. 2017. Unless otherwise indicated, all rights reserved. Do not copy or redistribute except as expressly permitted by GLOBALFOUNDRIES.