Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Similar documents
FIB mask repair technology for EUV mask 1. INTRODUCTION

Effects of Thin Film Depositions on the EUV mask Flatness

Progress in EUV blanks development at HOYA

EUVL Mask Defect Strategy

Control technology of EUV Optics Contamination:

Roadmap in Mask Fab for Particles/Component Performance

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

Optical and Physical Characteristics of EUV Phase Shift Masks

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Introduction to Lithography

EUV Mask Defect Reduction : Status and Challenges

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

Development Status of EUVL Blank and Substrate

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Lessons Learned from SEMATECH s Nanoimprint Program

E-Beam Coating Technology for EUVL Optics

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

EUV Technology, Martinez, CA

EUV optics lifetime Radiation damage, contamination, and oxidation

Status of EUVL mask development in Europe

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Supporting Information for

EUV Defect Repair Strategy

High-accuracy laser mask repair technology using ps UV solid state laser

Contamination control in EUV exposure tools

FEL Irradiation Tolerance of Multilayer Optical System

EUV Transmission Lens Design and Manufacturing Method

Optical pumping and final metal investigation

Mask Substrate/Blank Cleaning Progress Challenges

EUV Masks: Remaining challenges for HVM

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

EUV Transmission Lens Design and Manufacturing Method

EUV Source Supplier Update, Gigaphoton

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

More on VLSI Fabrication Technologies. Emanuele Baravelli

Lithography options for the 32nm half pitch node. imec

SEMI P10 for Automated Mask Orders

Laser Produced Plasma for Production EUV Lithography

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Prometheus-L Reactor Building Layout

Masks for Extreme Ultraviolet

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Status and Challenges in EUV Mask Cleaning

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

Copper Interconnect Technology

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems

Fabrication Technology, Part I

2008 Summer School on Spin Transfer Torque

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

2006 UPDATE METROLOGY

Understanding Optical Coatings For Military Applications

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Impact of New MoSi Mask Compositions on Processing and Repair

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

In-situ laser-induced contamination monitoring using long-distance microscopy

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

Solar Selective Absorber Coating Methods Plasma Processes

EUV multilayer coatings: potentials and limits

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

Key Technologies for Next Generation EUV Lithography

Mask Defect Auto Disposition based on Aerial Image in Mask Production

At wavelength characterization of EUV and soft X-ray gratings

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

EUV patterning improvement toward high-volume manufacturing

EUV Products and Business Opportunity

EUV Products and Business Opportunity

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

SIMS Analysis of Hydride in Commercially Pure Titanium

Kinematical theory of contrast

Metal Oxide EUV Photoresists for N7 Relevant Patterns

US A1 (19) United States (12) Patent Application Publication (10) Pub. N0.: US 2013/ A1 Jindal et a]. (43) Pub. Date: Aug.

\210 //////// ///////////////////// IN G CD. (12) United States Patent Mangat et al. ,240 US 6,596,465 B1 260 V//// / Jul.

METHOD FOR IMPROVING FIB PREPARED TEM SAMPLES BY VERY LOW ENERGY Ar + ION MILL POLISHING

Development of multilayerbased x-ray optics for FEL and synchrotron applications

EUVL Advancements Toward HVM Readiness

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

Product specification TiNOX energy

Low energy electron bombardment induced surface contamination of Ru mirrors

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

EECS130 Integrated Circuit Devices

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

INA-X System for SNMS and SIMS

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti,

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide

Strain measurement in heteroepitaxiallayers-silicon on sapphire

The Role of Universities and National Laboratories in EUV Lithography

Transcription:

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks Association of Super-Advanced Electronics Technologies (ASET) EUV Process Technology Research Laboratory Takeo Hashimoto and Iao Nishiyama

Contents Background Blanks repair methods Simulation method Results Amplitude defect repair Phase defect repair Defect covering by absorber pattern Conclusions

Background (1) -Critical issues for EUVL- Critical issues for EUVL 1. Source poer and lifetime including condenser optics lifetime 2. Availability of defect free masks 3. Reticle protection during storage, handling, and use 4. Projection and illumination optics lifetime and contamination Defect mitigation Patterning Repair Inspection 5. Resist resolution, sensitivity, and LWR Mo/Si Multilayer Mask Blanks 6. Optics quality for 32nm node

Background Defect mitigation SEMI P38 1102 Defect requirements for multilayer stack Class A B PSL equivalent range (nm) 25 30 Maximum defect count 0 0 Recent progress for defect mitigation Defect density ( /cm2) 10.0 1.00 0.10 IBS Helicon (DC) ASET(2003) [>120-70nm ] Others C 40 0 0.01 D 60 Agreed upon beteen user and supplier 0.001 Target 0.003/cm2

Blanks repair methods Scooped by FIB, EB Covering Amplitude defect Absorber Phase defect Depression by EB exposure substrate Schematic of multilayer defects

Simulation method Simulator: EM-Suite (TEMPESTpr) Absorber Buffer defect Substrate h substrate Defect Typical defect @ ASET h EUV 13.5nm Multilayer Mo/Si 40pair Multilayer Mo/Si pair: 40 d-spacing: 6.95nm(nominal) : 0.4 Exposure tool : 13.5nm NA/: 0.25/0.80 Incident angle: 6 Magnification: x4 Mask structure Buffer : Cr 10nm Absorber: TaGeN 70nm Cap : Non Contrast : More than 100

Amplitude defect repair Scooped ith FIB, EB and RAVE Defect50nm, Mo 200nm 50nm y Mo/Si Aerial image after scooping repair Crater depth dependency No repair The intensity degradation is small in case of crater depth less than 20nm.

Amplitude defect repair The effect of capping layer formation on a crater A. Barty et al. (SPIE 2002) Ar ion beam Cap material Si Thickness: t=5nm Sputtered cap 250nm 200nm y=20nm Mo/Si t No comformal Without cap

Phase defect repair by EB exposure EB No smoothing effect defect defect h h substrate p Initial d :6.95nm EB contraction Defect sizeh=10nm, =40nm h substrate Imin. is recovered by d-spacing contraction due to EB exposure.

Phase defect repair by EB exposure Imin. of aerial image after EB repair P h=10nm, =40nm, on substrate Defect size Before repair The reason for insufficient recover of Imin. Reflectivity loss accompanied by d-spacing reduction Structure distortion inside multilayer stack (even though the surface of multilayer is flat.)

Phase defect repair method taking account of d-spacing reduction Reflectivity loss No reflectivity loss Reflectivity Reflectivity loss No loss Wavelength (nm) Wavelength (nm) Reflectivity Initial d-spacing6.95nm After repair: 6.85nm Initial d-spacing7.01nm After repair: 6.92nm

Phase defect repair method taking account of d-spacing reduction Defect on substrate (at the bottom of multilayer) Defect sizeh=10nm, =40nm (on Mask) Initial d-spacing6.95nm Before repair After repair Imin. of aerial image recovers sufficiently by taking account of initial d-spacing. Initial d-spacing7.01nm Before repair After repair

Effect of EB repair for defects in multilayer Defect sizeh=10nm, =40nm EB 40th layer 30th layer 20th layer 10th layer Aerial image for optimized contraction of d-spacing Substrate 0.45 0.31 0.17 z (/2) z

Defect covering by absorber pattern Absorber 128 32nmL&S (x4 Mask) 128 128 128 Covering h=10nm h=10nm a) =80nm (20nm) b) =160nm (40nm) Not resolved Not resolved

Defect covering by absorber pattern Absorber 128 128 128 128 h=10nm =80nm intensity distibution (20nm on afer) CD CD (nm) 40 30 Defect size dependency of CD 2.5% 32nmL&S 10 20 30 40 /4(nm)

Conclusions 3 kinds of defect repair methods for multilayer mask blank ere evaluated through aerial image simulations. It as confirmed that they ere effective for amplitude and phase defect repair. If defect density of mask blank is close to the target value in future, the defect covering by absorber pattern ill be especially effective. Defect repair ill be a useful countermeasure for EUVL mask blank defect issues. Acknoledgement This ork as supported by NEDO.