Step and Flash Imprint Lithography for sub-100nm Patterning

Similar documents
Development of Imprint Materials for the Step and Flash Imprint Lithography Process

Template fabrication schemes for step and flash imprint lithography

Thermal Nanoimprinting Basics

Advanced Polymers And Resists For Nanoimprint Lithography

Invited paper. Issues on nanoimprint lithography with a single-layer resist structure. Applied Physics A Materials Science & Processing

One-kilobit cross-bar molecular memory circuits at 30-nm half-pitch fabricated by nanoimprint lithography

Copyright. Christopher James Mackay

UV15: For Fabrication of Polymer Optical Waveguides

Copyright. Stephen Christopher Johnson

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Study of the resist deformation in nanoimprint lithography

Fabrication of sawtooth diffraction gratings using nanoimprint lithography

Polymer-based Microfabrication

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Processing guidelines

Contact mechanics of a flexible imprinter for photocured nanoimprint lithography

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

WP7 JRA2 JRA2 Research on High Precision Manufacturing. Investigation of optimum NIL stamp fabrication method to copy sub-10 nm BCP features

Bilayer, nanoimprint lithography

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

Nano-imprinting Lithography Technology І

Supporting Information

Soft Lithography. Jin-Goo Park. Materials and Chemical Engineering Hanyang University, Ansan. Electronic Materials and Processing Lab.

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

micro resist technology

Introduction to Nanoscience and Nanotechnology

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Via etching in BCB for HBT technology

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Microelectronic Device Instructional Laboratory. Table of Contents

ABSTRACT 1. INTRODUCTION

micro resist technology

Hybrid Nanoimprint-Soft Lithography with Sub-15 nm Resolution


RIE lag in diffractive optical element etching

Fabrication of Infrared Antennas using Electron Beam Lithography

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Chapter 7 NANOIMPRINTED CIRCULAR GRATING DISTRIBUTED FEEDBACK DYE LASER

Fabrication Technologies and Instruments. The available fabrication technologies and instruments for fabricating the sub-wavelength

Three-dimensional SU-8 structures by reversal UV imprint

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Effect of nanoimprinted surface relief on Si and Ge nucleation and ordering

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

NanoSystemsEngineering: NanoNose Final Status, March 2011

Fabrication Of Metallic Antenna Arrays Using Nanoimprint Lithography

NIR High-Efficiency Subwavelength DiEractive Structures In Semiconductors.

Roll-to-Roll Nanoimprint - 6 회 -

Proton beam fabrication of nickel stamps for nanoimprint lithography

Photolithography I ( Part 2 )

Chemical Vapor Deposition

Applications of Nano Patterning Process 1. Patterned Media

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Photolithography Process Technology

Heteroepitaxy of Monolayer MoS 2 and WS 2

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

We are IntechOpen, the world s leading publisher of Open Access books Built by scientists, for scientists. International authors and editors

TEMPERATURE-DEPENDENT REFRACTIVE INDICES OF OPTICAL PLANAR WAVEGUIDES

Overlay Accuracy Limitations of Soft Stamp UV Nanoimprint Lithography and Circumvention Strategies for Device Applications

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Electronic Supplementary Information

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

IC/MEMS Fabrication - Outline. Fabrication

Today s Class. Materials for MEMS

Micromachining AMT 2505

Understanding. Brewer Science

Introduction to Lithography

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

Nanotechnology makes brighter LED s. Michael P.C. Watts

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching

Fabrication Technology, Part I

Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

types of curing mechanisms and developmental examples will be described in the following.

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

SUPPLEMENTARY INFORMATION

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

Application Notes: PixClear for OLED Internal Light Extraction Rev /4/17

PLASMONIC STRUCTURES IN PMMA RESIST

Transcription:

Step and Flash Imprint Lithography for sub-100nm Patterning Matthew Colburn, Annette Grot, Marie Amistoso, Byung Jin Choi, Todd Bailey, John Ekerdt, S.V. Sreenivasan, James Hollenhorst, C. Grant Willson Texas Materials Institute The University of Texas at Austin Austin, TX 78727 Agilent Technologies Laboratory Agilent Technologies Laboratories Palo Alto, CA 94304 Abstract Step and Flash Imprint Lithography (SFIL) is an alternative to photolithography that efficiently generates high aspect-ratio, sub-micron patterns in resist materials. Other imprint lithography techniques based on physical deformation of a polymer to generate surface relief structures have produced features in PMMA as small as 10nm [1], but it is very difficult to imprint large depressed features or to imprint a thick films of resist with high aspect-ratio features by these techniques. SFIL overcomes these difficulties by exploiting the selectivity and anisotropy of reactive ion etch (RIE). First, a thick organic transfer layer (0.3µm to1.1µm) is spin coated to planarize the wafer surface. A low viscosity, liquid organosilicon photopolymer precursor is then applied to the substrate and a quartz template applied at 2psi. Once the master is in contact with the organosilicon solution, a crosslinking photopolymerization is initiated via backside illumination with broadband UV light. When the layer is cured the template is removed. This process relies on being able to imprint the photopolymer while leaving the minimal residual material in the depressed areas. Any excess material is etched away using a CHF 3 /He/O 2 RIE. The exposed transfer layer is then etched with O 2 RIE. The silicon incorporated in the photopolymer allows amplification of the low aspect ratio relief structure in the silylated resist into a high aspect ratio feature in the transfer layer. The aspect ratio is limited only by the mechanical stability of the transfer layer material and the O 2 RIE selectivity and anisotropy. This method has produced 60nm features with 6:1 aspect ratios. This lithography process was also used to fabricate alternating arrays of 100nm Ti lines on a 200nm pitch that function as efficient micropolarizers. Several types of optical devices including gratings, polarizers, and sub-wavelength structures can be easily patterned by SFIL. Keywords: imprint lithography, optoelectronic, polarizer, gratings Introduction Several imprint lithography techniques are being investigated as low cost, high throughput alternatives to conventional photolithography for high-resolution patterning [2-4]. Use of classical imprint lithography in manufacturing requires several considerations: i) pressures greater than 10MPa are typically required to generate the relief structures, ii) temperatures greater than the T g of the polymer film must be used, iii) aspect ratios have been limited to ~3:1 except for certain low density patterns [5,6]. Step and Flash Imprint Lithography (SFIL) benefits from its unique use of photochemistry, the low temperature, and pressure required to carry out the process. The high aspect ratio images that can be generated by this process are a consequence of the high etch contrast between the organosilicon and organic films in anisotropic, O 2 reactive ion etching. Process Description The SFIL process has been described previously [2]. In brief review, a substrate is coated with an organic planarization layer and brought into the proximity of a transparent, low surface energy template with a low aspect-ratio topography. A UV-sensitive organosilicon solution is deposited between the template and coated substrate. The template is then brought into contact with the substrate using minimal pressure. The template is then illuminated through its backside thereby crosslinking the organosilicon solution at room temperature. The template is separated leaving behind an organosilicon replica of the template relief on the planarization layer. This pattern is then etched

with a short halogen break-through, followed by an oxygen RIE to form a high-resolution, high aspect-ratio feature in the planarization layer. The SFIL development program has proceeded down two parallel paths. An SFIL Stepper was developed to allow for production of multiple imprints on a single wafer. This machine is required to study the complexities of imprinting at minimal pressure with a rigid quartz template. At the same time, a collaboration with Agilent Technologies was established to develop an etch transfer process based on the Agilent imprint technique. The two versions of the SFIL process are shown below in Figure 1. Figure 1. Step and Flash Imprint Lithography Process using a rigid template with the SFIL stepper(a) and using a compliant template with Agilent imprint equipment (B). Experimental Description A study was carried out to determine the weight percent of silicon that must be incorporated into the etch barrier formulation in order to achieve an acceptable etch rate ratio between the etch barrier and the transfer layer. Formulations with varying silicon content were prepared by mixing cyclohexyl acrylate (Aldrich) and (3- acryloxypropyl)-tris(trimethylsiloxy)silane (Gelest) with a constant 5wt% of 1,3-bis(3-methacryloxypropyl) tetramethyldisiloxane (Gelest). The mixtures ranged from 95wt.% cyclohexyl acrylate to 95wt.% (3-acryloxypropyl)- tris(trimethylsiloxy)silane. A 1:1 mixture of bis(2,4,6-trimethylbenzoyl)-phenylphosphineoxide (Irgacure 819, Ciba) and 1-benzoyl-1-hydroxycycloxhexane (Irgacure 184, Ciba) were added to the above solution at 3wt.% to initiate free radical polymerization upon UV illumination. For ~30wt.% silicon samples, acryloxypropylmethsiloxanedimethylsiloxane copolymer (UMS-182,Gelest, Inc) was used. The UV free radical initiator was a 1:1 mixture of Irgacure 184 and Irgacure 819 added at 3wt.% of the UMS-182 and diluted in cyclohexanone. Two model organic films were used during the RIE studies: polystyrene and poly(methyl methacrylate) (PMMA). Polystyrene with a molecular weight of 50,000 was diluted 1:10 by weight in Toluene then spin coated at 4000 revolutions per minute (RPM) for 60 seconds and baked at 90 C for 90 seconds. PMMA with a molecular weight of 496,000 was diluted 1:10 in chlorobenzene was spin coated at 4000 to 6000 RPM for 60 seconds depending on desired thickness then hard baked at 200 C for 4 minutes under vacuum. An MRC parallel plate, capacitively coupled reactive ion etcher with 6" grounded electrode, 6" driven lower electrode, and a 2" electrode gap was used. The substrate rested on a ¼" thick 6" diameter quartz plate. The etch rates were measured using in-situ HeNe interferometry and validated by both pre-etch and post-etch two-angle ellipsometry and profilometry measurements. The etch conditions for oxygen RIE were 40 standard cubic centimeter per minute (sccm) O 2, and 200 V at 20 mtorr. This etch was approximately 80% anisotropic providing the degree of undercut necessary for subsequent metal lift-off. The halogen etch conditions were 56 sccm CHF 3, 12.5 sccm He and 450V at 6mTorr. For the high resolution

etch transfer process, the undercut was reduced by lowering the O 2 etch pressure to 6mTorr. The high resolution halogen etch conditions were 56 sccm CHF 3, 12.5 sccm He, 1.0 sccm O 2, and 450V at 6mTorr. At Agilent, master templates were prepared on a Si or GaAs substrate using in PMMA resist with a JEOL electron beam lithography tool. These templates were used to generate daughter templates by replicating the relief in J- 91 (Summer) polycarbonate. The daughter templates were then coated with ~10nm of a fluorinated film deposited in a C 3 F 8 plasma deposition chamber at 500 mtorr and 100W. The water contact angle was greater than 90 after deposition. A droplet of etch barrier, detailed previously, was placed on the wafer prior to bringing the template into proximity of the substrate [2]. The solution wicks the template as it was brought into contact with the planarization layer. The etch barrier was cured while under approximately 2 psi compression. Pressure could not be applied during exposure at Agilent. Consequently, the etch barrier formulation used was primarily 95wt.% UMS182:5wt.% free radical generator. This mixture was diluted in cyclohexanone, spin coated for 60 seconds at 4000+ RPM onto the substrate to generate a liquid film 100nm to 500nm thick. This film was then imprinted according to the process shown in Figure 1 (1.1-B through 1-5). Results and Discussion Ideally, in SFIL, the etch barrier is completely displaced, but in practice, some undisplaced etch barrier, called the base layer, always remains after imprinting. Four kinds of base layer thickness variations are shown in Figure 2. An analysis of the displacement predicts that a 1cP fluid, such as the etch barrier used in SFIL, will be displaced to a thickness of 100nm with as little as 2 PSI in a matter of seconds [3]. Using the SFIL method described in Figure 1(1.1- B through 1.5), the base layer thickness was measured to be less than 55nm after cure. Figure 3a shows an SEM of such a base layer. The base layer for this sample ranges from <10nm to 80nm thickness measured across a 1 x1 patterned region based on two angle ellipsometry (shown in Figure 3b). This is quite extraordinary considering that the templates utilized ~1% patterned area meaning that 99% of the solution had to be displaced. These thin base layers allow for the etch process to amplify the low aspect ratio relief into high aspect ratio features, but the oxygen etch transfer process also required development. An etch study designed to measure the effect of silicon content on etch rate was conducted in which the composition of the etch barrier formulations were varied from almost entirely organic to 30wt.% silicon. The etch rate was measured in both halogen and oxygen RIE using in-situ HeNe interferometry and initial and final thicknesses were collaborated by both two angle ellipsometry and profilometry. Figure 4 shows that the etch barrier must contain greater than 11wt.% silicon to achieve the ~15:1 O 2 etch selectivity required for the transfer etch. The etch barrier solutions therefore contain a minimum of 15wt.% silicon. It was found that lowering the pressure from 20mT to 6mT during the O 2 etch further improved the selectivity to 80:1 as well as reduce undercut. Figure 2. Several types of base layers are shown. Only the thin uniform base layer allows for successful etch transfer over the entire imprint field. a) b) Figure 3. a) SEM of 55+nm base layer using polycarbonate template. b) Base layer across a 1 x 1 patterned region measured with ellipsometry.

The RIE process consisted of a 20-second halogen break-through etch that removed the thin base layer and the O 2 amplification etch used to generate the high aspect ratio. The 20-second CHF 3 /He/O 2 break-through etch removes ~20nm of etch barrier. After which the anisotropic O 2 transfer etch amplified the low aspect ratio relief structure in the imprinted silicon photopolymer into a high aspect ratio feature in the transfer layer. Figure 4. Etch rate of etch barrier (solid line) compared to a polystyrene film (dashed line) during O 2 etch. The etch was conducted with 40 sccm O 2 at 20mTorr and applied voltage of 250V (33W). A high resolution template was created using a single pass e-beam exposure in 100nm of PMMA. The result was a master with ~60nm features that was etched ~50nm deep. A daughter template was replicated from this master on polycarbonate. Wafers were coated with 300 nm of hard baked PMMA and patterned with these 60nm features. A short 20 second halogen break-through etch and a O 2 transfer etch generated 60nm features with 6:1 aspect ratio and the slight under cut demanded for lift-off metalization. On a separate sample, Ti was deposited on 100nm structures at a rate of 2.5nm/s in a metal evaporator. The Ti metal lines remained after acetone lift-off in an ultrasonic bath. Figure 5b shows 100nm metal lines patterned by this technique with a 100nm line and space (L/S) template. The height of the metal lines is 50nm. a) b) Figure 5. a) 60nm features etched through 350nm. b)100nm Ti metal lines created using metal lift-off and SFIL. A high resolution template with an array of alternating regions of horizontal and vertical 100nm L/S was replicated, etch transferred, and used to perform metal lift off as before. The result is the alternating array of micropolarizers shown in the optical micrograph of Figure 6. These alternating arrays pass a single polarization of light. Figure 6a was taken with polarized light show the desired alternating light and dark regions.

a) b) Figure 6. a) Optical micrograph of a micropolarizer array illuminated with polarized light. b) SEM of the micropolarizer metal lines Conclusions Step and Flash Imprint Lithography is capable of high resolution patterning at room temperature with minimal applied pressure. The low viscosity photopolymer formulation used in this process is readily displaced resulting in base layers less than 55nm thick with under 2psi of contact pressure. Step and Flash Imprint Lithography has been successfully applied to the patterning of 60nm lines with 6:1 aspect ratio. Using metal lift-off, we have successfully patterned 100nm metal L/S arrays and demonstrated their utility as a micropolarizer array. Acknowledgements The authors thank the staff at Agilent Laboratories for all their assistance especially Judith Seeger, Karen Seaward, and Jim Krugger. We gratefully acknowledge DARPA and SRC for their continued support of SFIL research. 1 2 3 4 5 6 S.Y. Chou, P.R. Krauss, P.J. Renstrom, Nanoimprint Lithography, J. Vac. Sci. and Technol. B. 14(6), 412933 (1996) M. Colburn, S. Johnson, M. Stewart, S. Damle, B. J. Jin, T. Bailey, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekerdt, C.G. Willson; "Step and Flash Imprint Lithography: An alternative approach to high resolution patterning." Proc. SPIE Vol. 3676, 379-389 (1999) P. Ruchhoeft, M. Colburn, B. J. Choi, H. Nounu, S. Johnson, T. Bailey, S. Damle, M. Stewart, J. Ekerdt, S.V. Sreenivasan, J. C. Wolfe, C. G. Willson; "Patterning Curved Surfaces: Template Generation by Ion Proximity Lithography and Relief Transfer by Step and Flash Imprint Lithography." J. Vac. Sci. Technol. B, 17(6), 2965-2969 (1999) Y.Xia, G.M. Whitesides, Soft Lithography, Angew. Chem. Int., 37, 550-575 (1998) Haisma, J.; Verheijen, M.; van der Huevel, K.;van den Berg, J. Template-assisted nanolithography: A process for reliable pattern replication, J. Vac. Sci. Technol. B 14(6), 4124-29 (1996) H-C Scheer, H. Schults, F. Gottschalch, T. Hoffmann, C.M. Sotomayor Torres Problems of the Nanoimprint Technique for nm-scale Pattern Definition, J. Vac. Sci. and Technol. B. 16(6), 3917-3921 (1998)