New Materials as an enabler for Advanced Chip Manufacturing

Similar documents
New Materials and Processes for Advanced Chip Manufacturing

OUTLOOK FOR ADVANCED CLEANS

Investor presentation 24 April 2013

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

ASMI Annual Meeting of Shareholders May Chuck del Prado President & CEO

EE 143 CMOS Process Flow

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Complementary Metal Oxide Semiconductor (CMOS)

ASM. AGM presentation 14 May 2009

Czochralski Crystal Growth

200mm Next Generation MEMS Technology update. Florent Ducrot

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Cleaning Trends for Advanced Nodes. April 9, 2018 Scotten W. Jones President IC Knowledge LLC

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

CMP Defects and Evolution of PCMP Cleans

Interconnects OUTLINE

Lam Research Corporation

Lecture 10. Metallization / Back-end technology (BEOL)

Chapter 3 Silicon Device Fabrication Technology

Overview of Dual Damascene Cu/Low-k Interconnect

Oxidation SMT Yau - 1

CMOS FABRICATION. n WELL PROCESS

Chapter 5 Thermal Processes

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Despite Hype, Atomic Layer Deposition Shows Promise

SKW Wafer Product List

Lecture #18 Fabrication OUTLINE

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Evaluation and Evolution of Low κ Inter-Layer Dielectric (ILD) Material and Integration Schemes

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Make sure the exam paper has 9 pages total (including cover page)

Atomic Layer Deposition (ALD)

CMOS Processing Technology

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

CMOS Processing Technology

Process Challenges for 1S-1R Crossbar Memory

MOS Front-End. Field effect transistor

Low temperature deposition of thin passivation layers by plasma ALD

Renesas M5M40R326 32Mbit DRAM Memory Structural Analysis

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EECS130 Integrated Circuit Devices

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Hafnium -based gate dielectrics for high performance logic CMOS applications

Memory Innovation Made Possible by Suppliers

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Emerging Materials for Front End IC Process

Oxidation Part 1. By Christopher Henderson

Chapter 3 CMOS processing technology

Chapter 2 Manufacturing Process

Review of CMOS Processing Technology

Problem 1 Lab Questions ( 20 points total)

EE 330 Lecture 9. IC Fabrication Technology Part 2

Vertical Group IV Nanowires: Potential Enablers for 3D Integration and BioFET Sensor Arrays

VLSI Technology. By: Ajay Kumar Gautam

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Bruker AXS D8 FABLINE. X-Ray Metrology Solutions. think forward

2006 UPDATE METROLOGY

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Integrated Circuit Engineering Corporation. DRAMs

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Department of Electrical Engineering. Jungli, Taiwan

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

EE BACKEND TECHNOLOGY - Chapter 11. Introduction

FABRICATION of MOSFETs

The Physical Structure (NMOS)

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Development of High Voltage Silicon Carbide MOSFET Devices in KERI

ALD systems and SENTECH Instruments GmbH

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

TSV Failure Mechanisms

EE 434 Lecture 9. IC Fabrication Technology

Alternate Channel Materials for High Mobility CMOS

2. Fabrication techniques. KNU Seminar Course 2015 Robert Mroczyński

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

VLSI Systems and Computer Architecture Lab

Transcription:

New Materials as an enabler for Advanced Chip Manufacturing Drive Innovation, Deliver Excellence ASM International Analyst and Investor Technology Seminar Semicon West July 10 2013

Outline New Materials: Moore s Law Enablers Major Trends in Thin Film Deposition The ALD Technology Platform as a Response FinFET related challenges and Metal ALD PEALD as a Low Temperature Enabler ASM Front-end Products and selected applications Summary and Conclusions 2

Outline New Materials: Moore s Law Enablers Major Trends in Thin Film Deposition The ALD Technology Platform as a Response FinFET related challenges and Metal ALD PEALD as a Low Temperature Enabler ASM Front-end Products and selected applications Summary and Conclusions 3

Scaling will increasingly be enabled by New Materials and 3D Technologies 1990 1995 2000 2005 2010 2015 2020 2025 Scaling enabled by Litho Scaling enabled by Materials IEDM 2002 IEDM 2003 Low-k Strained Si Scaling enabled by 3D Chipworks 2012 IEDM 2007 High-k FinFET 3D SIC 3D Memory 3

Increasing Introduction Rate of New Materials BEOL FEOL Starting Mat l Al-Cu SiO, SiN Si (B)PSG Al-Cu SiO, SiN Si,epi Si(O)N TiW WSi, MoSi (B)PSG Al-Cu SiO,N Si,epi TiSi Si(O)N Ti/TiN WSi, PtSi (B)PSG Al-Cu SiO,N Si,epi TaO SOP SiOC Ta/TaN Cu SiOF CoSi Si(O)N Ti/TiN W (B)PSG Al-Cu SiO,N Si,epi SiC LaO ZrO Hf(Si)O AlO Porous SiOC SOI SiGe TaO SOP SiOC Ta/TaN Cu SiOF NiSi Si(O)N Ti/TiN W (B)PSG Al-Cu SiO,N Si,epi 1960 1970 1980 1990 2000 2010 5

Higher Capacitance, Lower Leakage New Materials and Processes: Moore s Law Enablers Higher Mobility, Lower Resistance High-k and Metal Gates DRAM, RF, decoupling capacitors Less Cross Talk, Faster Interconnect Strain and new Channel Materials New metal contacts Smaller Feature Sizes (Porous) Low-k Materials Improved Metals Sub-Rayleigh limit patterning using SDDP 6

ALD enables new materials and 3D Front-End Operations New materials and 3D applications require more precise and controlled thin film deposition Compared to conventional deposition techniques ALD offers superior: Uniformity Conformality Interface control ASMI is a leading player in the ALD market Developing ALD technology since 1999 Strong IP position Number 1 in high-k gate and strong position in SDDP The ALD market offers strong growth opportunities: High-k metal gate, FinFET Spacer defined double patterning Other emerging applications 7

What is Atomic Layer Deposition (ALD)? Front-End Operations Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge Step 3: Reaction to Oxide/Nitride with O 2, H 2 O, NH 3 co-reactant Step 4: Purge and repeat 8

Key strengths of ALD relative to conventional deposition Uniformity Step Coverage Min Max TiN 29 nm SiO 2 <1% 3σ <0.7% M-m SEM s Courtesy of Philips Research Labs Interface Control Composition Control Atomically engineered interfaces to optimize leakage current, reliability and work-functions 0 25 75 50 50 75 25 100 0 0 25 50 75 100 Te V. Pore (2010) Ge 100 Sb Excellent composition control for ternary alloys such as GST and STO 9

ASM s unique Materials Development Capabilities ASM Microchemistry (Fi) Pre-cursor Exploration Process Feasibility Basic Materials R&D Cooperative R&D Projects N+ 3 ASM Belgium Process Development Process Integration 15 7 nm Cooperative R&D Projects N+2,3 ASM Europe ASM America ASM Japan ASMGK (Korea) N+1,2 Product Development, Product Engineering, Product Marketing, Cooperative R&D Projects 10

Outline New Materials: Moore s Law Enablers Major Trends in Thin Film Deposition The ALD Technology Platform as a Response FinFET related challenges and Metal ALD PEALD as a Low Temperature Enabler ASM Front-end Products and selected applications Summary and Conclusions 11

Major Trends in Thin Film Deposition and ASM s Vision for ALD Thin Film Needs: New materials Thinner films Interface engineering 3D conformality Lower thermal budget Integration Capabilities Subtractive and Damascene patterning Etching Gap fills Clustering ALD is a whole new technology platform for enabling new materials! ALD PEALD.. 12

FinFET Challenges: ALD enables Further Scaling in 3D IMEC, 2011 Materials properties and channel length must be uniform over fin height Conformal coverage required ALD technology has become critical for HK and MG layers 13

ASM Front-end Products ALD Pulsar XP ALD for high-k Cross-flow reactor Solid source delivery system EmerALD XP ALD for metal gates Showerhead reactor Pulsar XP EmerALD XP 14

What is Plasma Enhanced Atomic Layer Deposition (PEALD)? Step 1: (Metal) Precursor Chemi-sorption Step 2: Purge Plasma Step 3: Reaction to Oxide/Nitride or metal with O,N,H Radicals and repeat + Faster + Lower temperatures + More chemistries + Denser films - More complex - Plasma may preclude some applications 15

PEALD as an enabler of Lower Temperature Budget Front-End Operations LT SiO 2 SiO 2 SiN SiCN Deposition Temperature 50 o C 260 o C 360 o C 360 o C Application FEOL SDDP Gate spacer SDDP (LT) Gate spacer Low WER Gate spacer Low temperature deposition of SiO 2 and Si 3 N 4 opens up wide potential application space 16

New Materials enabling Lithography Spacer Defined Double Patterning Front-End Operations Litho-formed Resist Pattern Depo of SiO 2 at 50C 44nm 22nm Pitch Conformal SiO 2 Anisotropic Etch Pitch/2 Resist Left Center Right ASM, ALD conference 2008; SPIE conference 2009 Uniform CD s: Spacer Thickness NU <1%, 3σ 17

ASM Front-end Products PEALD and PECVD Front-End Operations XP8 High productivity single wafer tool for both PEALD and PECVD applications RC3 RC4 RC5 RC6 Accommodates up to 8 chambers for PEALD or PECVD PEALD and PECVD can be integrated on the same platform RC2 RC1 RC8 RC7 18

Outline New Materials: Moore s Law Enablers Major Trends in Thin Film Deposition The ALD Technology Platform as a Response FinFET related challenges and Metal ALD PEALD as a Low Temperature Enabler ASM Front-end Products and selected applications Summary and Conclusions 19

ASM Products Front-end Market Requirements: 32nm 22nm 14nm and beyond Process ALD and PEALD ALD solution (Hafnium oxide) PEALD Low temp dielectrics Application ALD key for High-k Metal Gate technology 3D FinFET requires more conformal layers, strength of ALD SDDP-application of PE-ALD ASM Relative Positioning #1 in the served ALD market Qualified by nearly all Logic and Foundry manufacturers Strengthening inroads with PEALD Diffusion Furnace Unique dual reactor dual boat design Smallest footprint per reactor Low Cost of Ownership Leading IC manufacturers are customers Epitaxy Epitaxial films for analog devices as well as for nmos and pmos Thick Epi layers for power devices Strained Epi films for CMOS ASM one of only two top vendors PECVD Extreme low-k films Advanced intermetal dielectric film ASM one of only two top vendors in PE-CVD low-k Strong IP protected portfolio 20

ASM Products Front-end Market Requirements: 32nm 22nm 14nm and beyond Process ALD and PEALD ALD solution (Hafnium oxide) PEALD Low temp dielectrics Application ALD key for High-k Metal Gate technology 3D FinFET requires more conformal layers, strength of ALD SDDP-application of PE-ALD ASM Relative Positioning #1 in the served ALD market Qualified by nearly all Logic and Foundry manufacturers Strengthening inroads with PEALD Diffusion Furnace Unique dual reactor dual boat design Smallest footprint per reactor Low Cost of Ownership Leading IC manufacturers are customers Epitaxy Epitaxial films for analog devices as well as for nmos and pmos Thick Epi layers for power devices Strained Epi films for CMOS ASM one of only two top vendors PECVD Extreme low-k films Advanced intermetal dielectric film ASM one of only two top vendors in PE-CVD low-k Strong IP protected portfolio 21

ASM Front-end Products Advanced Epitaxy Front-End Operations Enabling transistor performance Strained epitaxial films for planar & FinFET devices Excellent interface control for high quality film growth Integrated Pre-Clean module High productivity Platform with 4 Epi chambers Differentiated & patented high film growth processes Intrepid XP 22

Epi layers for Power Devices Multi-Layer Epi Technology Front-End Operations B implant B implant PR mask 2 nd layer Epi B implant B implant PR mask 1 st layer Epi N+ Drain 1 st layer Epi N+ Drain Multiple epi steps 4 th layer Epi 3 rd layer Epi 2 nd layer Epi 1 st layer Epi Thick multi-layer epi needed for power management devices Number of epi layers depends upon the breakdown voltage required (Typical from 600 800V) Implemented in production by various companies N+ Drain ASM Product: Epsilon 3200 Epi for advanced power devices 23

ASM Products Front-end Front-End Operations Market Requirements: 32nm 22nm 14nm and beyond Process ALD and PEALD ALD solution (Hafnium oxide) PEALD Low temp dielectrics Application ALD key for High-k Metal Gate technology 3D FinFET requires more conformal layers, strength of ALD SDDP-application of PE-ALD ASM Relative Positioning #1 in the served ALD market Qualified by nearly all Logic and Foundry manufacturers Strengthening inroads with PEALD Diffusion Furnace Unique dual reactor dual boat design Smallest footprint per reactor Low Cost of Ownership Leading IC manufacturers are customers Epitaxy Epitaxial films for analog devices as well as for nmos and pmos Thick Epi layers for power devices Strained Epi films for CMOS ASM one of only two top vendors PECVD Extreme low-k films Advanced intermetal dielectric film ASM one of only two top vendors in PE-CVD low-k Strong IP protected portfolio 24

Extendibility of ASM s Low-k Solution ILD k-value 3.2 3 2.8 2.6 2.4 2.2 2 1.8 1.6 Aurora low-k (k=2.8~3.1) Aurora low-k (k=2.6) Aurora ELK (2.3-2.5) Aurora ELK (2.0) UV-assisted process k<2.0? 90~45nm 32nm 22nm 15nm 11nm 8nm Pore Seal / Restoration 25

ASM Products Front-end Market Requirements: 32nm 22nm 14nm and beyond Process ALD and PEALD ALD solution (Hafnium oxide) PEALD Low temp dielectrics Application ALD key for High-k Metal Gate technology 3D FinFET requires more conformal layers, strength of ALD SDDP-application of PE-ALD ASM Relative Positioning #1 in the served ALD market Qualified by nearly all Logic and Foundry manufacturers Strengthening inroads with PEALD Diffusion Furnace Unique dual reactor dual boat design Smallest footprint per reactor Low Cost of Ownership Leading IC manufacturers are customers Epitaxy Epitaxial films for analog devices as well as for nmos and pmos Thick Epi layers for power devices Strained Epi films for CMOS ASM one of only two top vendors PECVD Extreme low-k films Advanced intermetal dielectric film ASM one of only two top vendors in PE-CVD low-k Strong IP protected portfolio 26

A412 PLUS: Productivity and Innovation Front-End Operations Productivity One A412 PLUS = up to 80 kwpm (2.5 hr process, 95% available, 150 wafer boat) About 40% lower capex per m 2 as competitors Dual boat/dual reactor system B A Innovation New ALD and CVD processes Example 1: AlN WiW NU: ~0.25% 1σ Real time production data: Wafers Out in one Day 2100 WHR Load/Unload Logistics 1800 Processing (2.5 hr) 1500 1200 900 600 Tube B Tube A 300 Tube B 150 Tube A 04/11 0:00 04/12 0:00 24 hrs Example 2: very thin closed silicon, 3.5nm 3.4 3.0 3.4 3.2 3.3 SiO 2 SiO 2 Si 3.6 3.3 3.5 27

Wafer Fab Equipment Forecast Front-End Operations WFE $B 40.0 35.0 30.0 25.0 20.0 15.0 +15% Wafer Fab Equipment Forecast 14 nm by technology node 22 nm 32 nm 45 nm 65 nm 90nm -18% -6% +17% +15% -4% Share of 28nm, 22nm and 14nm of total Equipment spending increasing in 2013-2014 10.0 5.0 0.0 2011 2012 2013 2014 2015 2016 Key customer ALD penetrations in 28, 22 and 14nm: market segments with high expected growth Gartner June, 2013 28

Outline New Materials: Moore s Law Enablers Major Trends in Thin Film Deposition The ALD Technology Platform as a Response FinFET related challenges and Metal ALD PEALD as a Low Temperature Enabler ASM Front-end Products and selected applications Summary and Conclusions 29

Summary and Conclusions Scaling is increasingly enabled by new materials and 3D technologies ALD enables new materials and 3D The ALD market offers strong growth opportunities Adoption of more ALD and PEALD applications in HVM continues ASMI #1 position in ALD for High-k gate 3D FinFET s drive adoption of ALD, not only for the dielectric, but also for metals Strengthening inroads with PEALD on XP8, high productivity system for PEALD and PECVD applications Intrepid XP, system with 4 Epi reactors, targeting strained Epi layers for CMOS ASM s Vertical Furnace is providing low CoO and footprint per reactor 30

Drive Innovation, Deliver Excellence