Key Electronic Products Driving Notable DFT Methodologies

Similar documents
Kun Young Chung Design Technology Team System LSI Samsung Electronics

Mentor Safe IC ISO & IEC Functional Safety

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

Expanding the Reach of Formal. Oz Levia November 19, 2013

DFM Challenges and Practical Solutions in 65nm and 45nm

Cost and Benefit Models for Logic and Memory BIST

Hermes Microvision, Inc.

Alternatives to Vertical Probing

Hermes Microvision, Inc.

Hermes Microvision, Inc.

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

Process Average Testing (PAT), Statistical Yield Analysis (SYA), and Junction Verification Test (JVT)

Horseshoes, Hand Grenades, and Timing Signoff: When Getting Close is Good Enough

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

Intel s Technology and Manufacturing Leadership. Brian Krzanich Senior Vice President General Manager, Manufacturing & Supply Chain Intel Corporation

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

QVM: Enabling Organized, Predictable, and Faster Verification Closure by Gaurav Jalan, SmartPlay Technologies, and Pradeep Salla, Mentor Graphics

Physically-Aware Analysis of Systematic Defects in Integrated Circuits

Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Hermes Microvision, Inc.

Hermes Microvision, Inc.

13. Back-End Design Flow for HardCopy Series Devices

StarRC Custom Parasitic extraction for next-generation custom IC design

Thought Leadership From the IT Trendz BLOG, IBM Systems Magazines and Destination z

RecruitPlus. Bringing new wave of Automation to Recruitment process. RecruitPlus Resume Parser. ITCONS e Solutions Pvt. Ltd.

Pre- and Post-Si Validation Challenges Intel Annual Symposium VLSI CAD and Validation

Hermes Microvision, Inc.

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Lessons Learned in Deploying Part Average Testing in a Production Environment

Shifting Sands. Semiconductor foundries were. The Future for Semiconductor Foundries. By Enrique Duarte Melo and David Michael

Power-Aware Test Generation with Guaranteed Launch Safety for At-Speed Scan Testing

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

July 11, Axcelis Technologies, Inc.

Layout-Aware Pseudo-Functional Testing for Critical Paths Considering Power Supply Noise Effects

inemi Test and Inspection TIG

Sharif University of Technology Introduction to ASICs

GTC Using GPUs to Speedup Chip Verification. Tomer Ben-David, VP R&D

Renew, Retire, Replace


INVESTOR PRESENTATION

RoodMicrotec. be the Leading Independent European Company for Semiconductor Supply and Quality Services. RoodMicrotec - Rev. 3

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

Trends in Testing Integrated Circuits

A Site Observation Directed Test Pattern Generation Method for Reducing Defective Part Level

Status USCAR project

Computer UCSC. The MASC Group

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

FORMAL PROPERTY VERIFICATION OF COUNTER FSM AND I2C

Automotive Safety and Security in a Verification Continuum Context

Oerlikon Components Enabling Information Technology

1. Explain the architecture and technology used within PLDs. 2. Compare PLDs with alternative devices. 3. Use PLD design tools.

8. Description, Architecture, and Features

Meeting the New Challenges of Test

Digital VLSI Design. Lecture 1: Introduction

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro:Chia-Tso Chao TA:Szu-Pang Mu Chien Hsueh Lin 2015/05/26

FUNDAMENTAL SAFETY OVERVIEW VOLUME 2: DESIGN AND SAFETY CHAPTER G: INSTRUMENTATION AND CONTROL

Technical Viability of Stacked Silicon Interconnect Technology

Contemporary Design for Testability Guidelines for Circuit Boards and Systems

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

Innovative and Integrated Machine Vision Systems

Rapid Deployment of Data Mining in Engineering Applications IEEE STC 2014

Synopsys Third Quarter Fiscal Year 2018 Earnings Conference Call Prepared Remarks Wednesday, August 22, 2018

Investor Presentation March Quarter 2014

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

Nangate 45nm Open Cell Library. Jesper Knudsen VP Marketing

Jack Weast. Principal Engineer, Chief Systems Engineer. Automated Driving Group, Intel

Building smart products: best practices for multicore software development

The History & Future of

T3Ster. Thermal Transient Tester General Overview.

A C Q U I S I T I O N O F S I L E G O T E C H N O L O G Y I N C.

An Oracle White Paper January Upgrade to Oracle Netra T4 Systems to Improve Service Delivery and Reduce Costs

New Packaging Technology Qualification Methodology

Next Generation Design with NX

Translation in the 21 st Century

Enea Pioneering Software Development. Per Åkerberg, President and CEO October 22nd, 2009

T3Ster. Thermal Transient Tester General Overview.

T3Ster. Thermal Transient Tester General Overview.

Dallas, Texas / May 18-21, Welcome

Software Quality Engineering Courses Offered by The Westfall Team

Seamless Application Security: Security at the Speed of DevOps

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

Enabling Bigger Waves of Growth

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

Software Quality Engineering Courses Offered by The Westfall Team

Collaboration as a Way forward for Semiconductor Technology Albany NanoTech. Albany Innovation Conference

Thermal Design of SoC at the Micron Scale Rajit Chandra, Ph.D. Founder, CTO Gradient Design Automation Santa Clara, California

Fan-Out Packaging Technologies and Markets Jérôme Azémar

Trusted by more than 150 CSPs worldwide.

GSI Technology. High Performance Memory for Leading-Edge Technology

ASIC Physical Design CMOS Processes

Lab2 Scan Chain Insertion and ATPG Using DFTADVISOR and FASTSCAN. Pro: Chia-Tso Chao TA: Yu-Teng Nien

Design Virtualization and Its Impact on SoC Design

Maxim Integrated Global Failure Analysis (FA)

Oracle Real-Time Decisions (RTD) Ecommerce Interaction Management Use Case

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

Global and China Wafer Foundry Industry Report, Sep. 2014

<Insert Picture Here> Power Grid Analysis Challenges for Large Microprocessor Designs

Listening To the Voice of Your Product

Anti-Counterfeiting of Integrated Circuits: RFID Tags as a Countermeasure

Transcription:

Key Electronic Products Driving Notable DFT Methodologies May 2016 Kurian Varghese DFT Applications Engineer

Key Test Technology Milestones Provided Universal Benefits Scan Design, circa 1980 Enabled broad use of structural test IEEE 1149.1 (JTAG), circa 1990 Provided standard access to test capabilities ATPG Compression, circa 2000 Kept test costs in line with overall product costs 2

Need for Targeted Test Strategies Growing design complexities driving need for specialized test solutions to maximize effectiveness Two notable segments needing attention: 3 Automotive ICs Giga-gate Designs

Automotive ICs Test Challenges and Unique Solutions 4

A New Automotive Era Coming Years Technology and electronics explosion 20 th Century Incremental Mechanical Improvements 5

Fastest Growing Market Segment Automotive Comm Ind/Med All ICs Computer Consumer IC Market Growth by Application (2014 2019 CAGR) 0% 2% 4% 6% 8% Source: IC Insights 6

Automotive IC Suppliers Increasingly Diverse Field 13.2% Others 46.8% 8.9% 9.6% 11.5% 10.0% Many new players beyond traditional automotive suppliers Source: IC Insights data for 2015 7

What s the Impact to Semiconductors? Devices must meet quality, reliability & safety requirements Driven by standards like ISO 26262 & AEC 100 Key requirements Zero DPM In-field Self-Test Field return analysis 8

Tessent Solutions for Automotive Zero DPM In-Field Self-Test Field Return Analysis 9

Tessent Solutions for Automotive Zero DPM In-Field Self-Test Field Return Analysis 10

Cell-Aware ATPG Traditional ATPG uses fault models that do not target defects inside each cell Cell-aware ATPG improves detection of defects internal to standard cells Electrical defects mapped to cell-level transistor models Spice simulation maps fault effects to Cell-Aware fault model ATPG engine targets Cell-Aware faults Spice Simulation D0 D1 ATPG logical view Z D2 S 0 S 1 D 0 D 1 D 2 Z S0 S1 0 0 0 x x 0 0 0 1 x x 1 x 1 x x 0 0 x 1 x x 1 1 1 0 x 0 x 0 1 0 x 1 x 1 CA Model 11

Cell-Aware Results Customer Technology Wafer / Package Test 350 nm 32 nm 28 nm 1 Million parts tested Additional test fallout 114 DPPM Over 50 Million parts tested 32nm 880 DPPM 28nm 1500 DPPM 130 nm 4 Million parts tested Unique CAT test fallout Over 20 companies 350 nm down to less than 28nm Over 100 Million parts tested with high additional test fallout 12

Customer Activity Won Bob Madge Innovation Award at last year s ITC Close to a dozen customers already using this technology Over a dozen published joint papers with customers International Test Conference DATE conference European Test Symposium Asian Test Symposium ISTFA Conference Transactions of CAD Cell-aware Experiences in a High-Quality Automotive Test Suite M.Beck 1, F.Hapke 2, R.Arnold 1 M.Baby 1, S.Straehle 1, J.F.Goncalves 1, A.Panait 1, R.Behr 1, G.Maugard 1, A.Prashanthi 1, J.Schloeffel 2, W.Redemund 2, A.Glowatz 2, A.Fast 2, J.Rajski 2 1 Cell-aware Production Test Results from a 350nm Automotive Design Friedrich Hapke 1, Marek Hustava 2 Juergen Schloeffel 1, Vilem Bucek 2, Wilfried Redemund 1, Pieterjan Vyncke 2, Anja Fast 1, Radek Pospisil 2, Janusz Rajski 1 1 2 2 13

Tessent Solutions for Automotive Very low DPM In-Field Self-Test Field Return Analysis 14

Logic Test Solution Targeting Safety Critical Applications Manufacturing In-System High quality (0 DPM) test Power-on self-test 15

Hybrid TK/LBIST Integrated ATPG compression and LBIST (both IP and flow) Enables maximum optimization of defect coverage vs. test time Addresses both manufacturing and in-system test needs 16

Power-On Self-Test POST key for addressing ISO 26262 requirements Programmable POST solution P2S conversion of instructions/data from memory Data for the BIST registers provided via the IJTAG network Complete flexibility on how the BIST controllers are run during POST session 17

Customer Feedback Over 20 customers already using this solution The combination of compressed scan test and logic BIST gives Renesas a high-quality solution for both production test and Power- On Self-Test, which is required by the ISO 26262 standard in the automotive industry, 18

Tessent Solutions for Automotive Very low DPM In-Field Self-Test Field Return Analysis 19

Layout-Aware Diagnosis: Improves Resolution and Accuracy Logic diagnosis Layout-aware diagnosis The search area is reduced to 11% or less of the original area Y.-J. Chang, et.al. (MGC, UMC, AMD), Experiences with Layout-Aware Diagnosis, EDFA Magazine, May 2010 This directly results in more die becoming suitable for PFA M. Sharma, et.al. (MGC, TSMC, AMD), Layoutaware Diagnosis Leads to Efficient and Effective Physical Failure Analysis, ISTFA 2011 20

Cell-Aware Diagnosis: Transistor Level Diagnosis Improve resolution to defect locations inside cells Works for all pattern types Leverages cell-aware fault model Internal defects mapped to input excitation conditions Data collection and diagnosis flow identical to traditional layout-aware diagnosis ITC 2012 ATS 2014 21

Customer Feedback Several automotive customers using these solutions 85% reduction in root cause cycle time Using the statistical analysis features of Tessent YieldInsight we are able to identify yield issues in days as well as determining the impact of process modifications. Davide Appello, STMicroelectronics ST, ISTFA 2011 From 10% to ~100% FA success rate Tool helped identify exact location of failure on layout and coordinates for debugging PFA candidates. Close to 100% success on PFA. Avadh Tibrawal, Cypress Semiconductor Cypress, U2U 2012 22

Giga-Gate Designs Test Challenges and Unique Solutions 23

Unique Challenges for Giga-gate Designs ATPG run time Multiple weeks to months not uncommon for large designs Often in critical path to tapeout Memory footprint Very large memory footprint limits machine availability Test pattern volume Directly impacts test (and product) cost 24

Tessent Solutions for Giga-Gate Designs ATPG run time Hierarchical ATPG TestKompress & EDT Test Points ATPG memory footprint Test pattern volume 25

Hierarchical ATPG Divide-and-conquer approach to break down the overall ATPG task into smaller, more manageable pieces Patterns are first generated for each design core in isolation. Patterns are then automatically retargeted to the chip level and merged to minimize test time 26

Hierarchical ATPG Main Benefits Significant reduction in run times Significant reduction in compute resources Reduction in pattern volume Allows block-level ATPG early in flow Takes ATPG out of critical path 27

Hierarchical ATPG Typical Customer Results 5X+ reduction in ATPG runtime >5X reduction in CPU memory required Saved 100 s of hours in gate-level simulation 50% reduction in pattern count 28

General business information TM Customer Feedback Over 15 customers already using hierarchical ATPG solution Moving to Mentor s Tessent hierarchical ATPG flow has allowed us to significantly reduce turnaround time on current designs. Because this solution is highly scalable, we expect to continue using it on our future designs Evelyn Landman, VP Engineering, Mellanox Technologies Hierarchical DFT Implementation at Freescale Israel Shlomi Sde-Paz Freescale Israel J a n 2 0 1 5 29

TestKompress & EDT Test Points Improving Test Compression Unlike traditional test points that target test coverage improvements, EDT Test Points target compression 30

Impact of EDT Test Points Average 2-4X improvement in compression On top of the average TestKompress base compression of 85X 31

Customer Feedback Over a dozen customers already using EDT Test Points We ve not seen a block that doesn t benefit in terms of pattern count reduction from EDT Test Points. 32 EDT Test Points results were presented to our CTO and the technology was approved for use on all production devices in our design center With the 4X reduction we re seeing from EDT Test Points, we don t see any issues with data volume for the next 5-6 years.

Summary Increasing design complexities require targeted test solutions for maximum effectiveness Automotive ICs require very high quality and reliability driven by ISO 26262 standard Giga-gate designs pushing limits of ATPG runtime and memory usage Tessent provides unique solutions to address these new challenges 33

THANK YOU!