MCC. NANO PMMA and Copolymer

Similar documents
MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Photolithography I ( Part 2 )

micro resist technology

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

micro resist technology

Dow Corning WL-5150 Photodefinable Spin-On Silicone

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

P4000 Thick Film Photoresist

INTERVIA BPP-10 Photoresist

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

Supporting Information

Introduction to Nanoscience and Nanotechnology

Processing guidelines

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

DuPont MX5000 Series

Lithography Tool Package

Major Fabrication Steps in MOS Process Flow

POSISTRIP EKC830. Will effectively remove hard to remove positive photoresist

Technical Data Sheet Technisches Datenblatt

ELGA Wet Resist LR 3600H

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK

Lab #2 Wafer Cleaning (RCA cleaning)

Photolithography Process Technology

North America Europe, Middle East and Africa Latin America Asia-Pacific. Table 1. Photo-BCB Formulations

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

PRODUCT DESCRIPTION. Ordyl SY 300 could be used for sealing application, due to the capability to be pressed together with a top plate.

Advanced Polymers And Resists For Nanoimprint Lithography

GLM General information. Technical Datasheet

Electronic Supplementary Information

Scotch-Weld Metal Bonder Acrylic Adhesive DP8407NS Gray

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

Technical Data Sheet February 2014

Lecture 4 Lithography II

3M Plastic Primer P591. Color Clear Black Black Clear Black Clear. (Ford Cup) Solids (%) VOC (g/l)

UV15: For Fabrication of Polymer Optical Waveguides

Low Odour Acrylic Adhesives DP8805NS Green DP8810NS Green DP8825NS Green. Preliminary Technical Data Sheet May 2017

Hybrid BARC approaches for FEOL and BEOL integration

BONDERITE M-NT 7400 CR FREE CONVERSION COATING (Known as BONDERITE 7400)

Microelectronic Device Instructional Laboratory. Table of Contents

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

TECHNICAL DATA SHEET DESCRIPTION PHYSICAL CHARACTERISTICS PRODUCT CHARACTERISTICS ETERTEC PR8200Y1 PHOTO-IMAGEABLE COVERLAY

3M Scotch-Weld Acrylic Adhesive

Technical Process Bulletin

TECHNICAL DATA. ThreeBond Cyanoacrylate Adhesive. Features. Applications. Directions for Use

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Scotch-Weld Acrylic Adhesives

BONDERITE 1070F CLEANER/COATER PROCESS FOR MULTIMETALS

CYCLOTENE* 3000 Series Advanced Electronic Resins

Adhesion Promoter/Primer 3M Adhesion Promoter AP596

Fabrication Techniques for Thin-Film Silicon Layer Transfer

AZ P4620 Photoresist Data Package

Advanced developer-soluble gap-fill materials and applications

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

FAQs concerning photoresists from Allresist

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

TI 35ES image reversal resist

AR NEWS 24 nd issue, April 2012

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings

Araldite AV 138M-1 / Hardener HV 998-1

ARALDITE 420 A/B Two component epoxy adhesive system

Technical Process Bulletin

! Easy spin coat application. 1 Because Federal, State, Local and International laws may

Technical Process Bulletin

Technical Process Bulletin

4/10/2012. Introduction to Microfabrication. Fabrication

ASAHI KASEI EMD DFR SUNFORT TM

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

MEMS Surface Fabrication

Silicone Conformal Coating 422B Technical Data Sheet 422B-Aerosol

Chapter 3 Silicon Device Fabrication Technology

3 Scotch-Weld TM. Low-Odor Acrylic Adhesive DP-810. Technical Data August, 1998

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

ALODINE 5200 CONVERSION COATING PROCESS FOR ALUMINIUM

Hysol EA 9895 Peel Ply

LOCTITE 406. Technical Data Sheet. (TDS for new formulation of Loctite 406 ) February-2012

Screen Printing of Highly Loaded Silver Inks on. Plastic Substrates Using Silicon Stencils

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Red Insulating Varnish 4228 Technical Data Sheet 4228-Liquid

Deep - blue transparent liquid. Specific Gravity Non - Volatile Ingredient 99 % Tensile Strength (kg/cm²) Hardness (Shore D) 90-95

Micro- and Nano-Technology... for Optics

CyMOS process Spring 2016 Iowa State University

Araldite AW4858/ Hardener HW4858

Red Insulating Varnish 4228 Technical Data Sheet 4228-Liquid

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Transcription:

MCC PRODUCT ATTRIBUTES NANO and Submicron linewidth control (polymethyl methacrylate) is a versatile polymeric material that is well suited Sub 0.1µm imaging for many imaging and non-imaging microelectronic applications. is most commonly used as a high resolution positive resist for direct write e-beam as well as E-beam, X-ray & deep UV imaging x-ray and deep UV microlithographic processes. is also used as a protective Broad range of molecular weights & dilutions coating for wafer thinning, as a bonding adhesive and as a sacrificial layer. Excellent adhesion to most substrates Compatible with multi-layer processes Standard products cover a wide range of film thicknesses and are formulated with 495,000 & 950,000 molecular weight (MW) resins in either chlorobenzene or the safer solvent anisole. Custom MW products ranging from 50,000-2.2 million are available upon request. In addition, we offer copolymer (MMA (8.5) MAA) products formulated in the safer solvent ethyl lactate. All MCC and copolymer resists APPLICATIONS Multi-layer T-gate processing are available in package sizes from ml to 20 liters. courtesy M/A Com Direct write e-beam lithography Protective coatings for wafer thinning Adhesive for X-ray LIGA processing Sacrificial layers 100nm gate profile imaged in 495K with 8.5 MAA on top. courtesy M/A Com T-gate resulting from / bilayer resist stack.

PROCESSING GUIDELINES Typical process flow for bi-layer T-gate process Substrate Preparation The substrate should be clean and dry. Solvent, O2 plasma, and O3 1. cleans are commonly used and recommended. Coat Spin Coat Bottom Layer Resist 2. Prebake MicroChem resists produce low defect coatings over a broad range of film thicknesses. The film thickness vs. spin-speed curves displayed in Fig. 1 through 8 provide the information required to select the appropriate dilution and spin speed needed to achieve the desired film thickness. 3. Spin Coat Top Layer Resist 4. Remove Resist Edge Bead The recommended coating conditions are: (1) Dispense: STATIC 5-8ml for a 150mm wafer (2) Spread: DYNAMIC rpm for 5 sec OR STATIC 0 rpm for 10 sec (3) Spin: Ramp to final spin speed at a high acceleration 5. rate and hold for a total of 45 seconds. 6. Prebake Expose and Develop Resist Stack Pre Bake Hot plate: 180oC for 60-90 sec OR Convection Oven: 170oC for 30 min 7. Hot plate: 150oC for 60-90 sec OR Convection Oven: 140oC for 30 min *Vacuum oven bake can also be used 8. Gate Etch and Deposition Strip/Remove Resist Stack

Expose Table 2 outlines helpful guidelines for a develop process. can be exposed with various parts of the electromagnetic TYPICAL DEVELOPMENT PROCESS spectrum. e-beam: Dose - 50 - µc/cm 2 depending on radiation source/equipment & developer used. ACTION SPRAY** SPRAY PUDDLE Dispense rpm for 30-45 secs rpm for 3-4 secs IMMERSION (21OC) Dispense 0 rpm for 2 secs Energy 20-50kV; higher kv for higher resolution, e.g. 50kV for No Dispense 0 rpm for 25-40 secs 30 secs 0.1mm images. Rinse * rpm for 30-45 secs rpm for 3-4 secs 30 secs Dry rpm for 30 secs 0 rpm for 30 secs Nitrogen blow dry DUV(deep UV): Low sensitivity, requiring doses >mj/cm 2 at 248nm. * Recommended Rinse solution is MIBK to IPA 1:3 in order to reduce the possibility of scumming ** Variables such as developer pressure, nozzle type & position, spray pattern, etc. should be optimized X-ray: Sensitivity of is low, ~1-2 J/cm2 at 8.3Å. The Table 2 sensitivity increases at longer x-ray wavelengths. Features of <0.02µm can be fabricated. Postbake/Hardbake (optional) To remove residual developer, rinse solvent, and moisture from the Develop and copolymer resists are compatible with immersion (21oC), spray puddle, and spray process modes. Process variables such as soft bake, exposure conditions, choice of resist and developer should be optimized to achieve desired results. For more process details see the and DEVELOPER data sheet. Table 1 lists commonly used developers and their recommended usage. NANOTM AND COPOLYMER DEVELOPERS ARE AVAILABLE IN THE FOLLOWING BLENDS PRODUCT COMPOSITION RESOLUTION SENSITIVITY / THROUGHPUT M/I 1:1 1:1 MIBK to IPA high high M/I 1:2 1:2 MIBK to IPA higher medium M/I 1:3 1:3 MIBK to IPA very high low MIBK low high MIBK resist image. Hot Plate OR 100oC for 60-90 sec Convection Oven 95oC for 30 min Note: images will round/flow above 125oC. Remove Wet: Remover PG or ACRYL STRIP Bath: time as required, ambient Spray: time as required, - rpm Dry: plasma O2 and copolymer resists can be removed by using MCC's Remover PG or standard cleanroom solvents, such as acetone, photoresist thinner, or positive photoresist removers. Resists that have seen higher processing temperatures and/or hostile processes that have toughened the polymer will require Table 1 Rinse and Dry ACRYL STRIP or a more aggressive removal process. This can include Remover PG at elevated temperature followed by cleaner baths to assure adequate material removal. To terminate the develop process and prevent scumming, See appropriate product data sheet for specific process recom- and copolymer should be immersed or sprayed with 1:3 or 1:4 mendations and safety precautions. MIBK:IPA, alcohol or DI water immediately following develop. Substrates are normally spin dried at rpm for 20 seconds or For additional questions or technical assistance please contact N2 blow dried. Technical Services.

SPIN SPEED CURVES FOR AND COPOLYMER RESISTS The spin speed versus film thickness curves displayed in figures 1-11 provide approximate information required to select the appropriate or copolymer resist and spin conditions needed to obtain the desired film thickness. Actual results will vary and are equipment, environment, process and application specific. Additional resist dilutions to obtain other film thicknesses are available upon request. 0 9000 8000 7000 6000 0 0 495 A Resists Solids: 2% - 6% in Anisole 7000 6000 Film Thickness (Å) Film Thickness (Å) 495 C Resists Solids: 2% - 6% in Chlorobenzene C6 C4 0 A6 A4 C2 A2 0 2 4 2 Figure 1 Figure 3 495 C Resists Solids: 8% - 9% in Chlorobenzene 495 A Resists Solids: 8% - 11% in Anisole 4 Film Thickness (Å) C9 0 0 0 A11 0 A8 C8 0 2 4 2 Figure 2 Figure 4 Resists Solids: 6% - 11% in Ethyl Lactate 1 Film Thickness, (Å) Film Thickness (Å) 20 2 2 19000 17000 0 1 1 9000 7000 0 0 8000 6000 EL 11 EL 9 EL 6 0 2 Figure 9 4 4

Bi-Layer Process resists for T-gate and other imaging processes is a high resolution positive tone resist for e-beam, deep UV 4. Develop and 1. Coat and bake (200-250nm) and X-ray lithographic processes. Although may be used in a single layer resist process, it is most commonly used in multi-layer processes such as in the fabrication of mushroom or T-gates. Images are formed through the photo scission of the polymer backbone and subsequent development process, which 5. Deposition e-beam >> removes the exposed, lower molecular weight resist. Multi-layer, shaped resist profiles are realized and influenced through the careful choice of molecular weight, film thickness and other process 2. Coat and bake copolymer 3. Expose resist stack, center scan dose, then side scan dose 6. Strip resist stack set points. Tri-Layer Process In a typical bi-layer process, a combination of bottom and top layer resists are selected such that a large difference in dissolution rates 1. Coat and bake high MW 5. Develop tri-layer stack of the layers at the developer step exists, leading to the desired resist sidewall profile. This contrast may be further influenced with a molecular weight decreases. However, soft bake conditions, which variety of process strategies. Generally, dissolution rate increases as 2. Coat and bake copolymer 6. Deposition affect residual solvent level and subsequent development rates will influence the bi-layer resist profile as will the exposure conditions. Please refer to our web site, www.microchem.com for applications notes concerning non-imaging processes such as wafer 3. Coat and bake low MW 7. Strip resist stack e-beam >> thinning, bonding and sacrificial layers. 4. Expose resist stack, center scan dose, then side scan dose

OTHER RESIST AND ANCILLORY PRODUCTS FROM MICROCHEM PMGI and LOR for lift-off processing SU-8 resists for MEMS and ultra thick resist processing Remover PG resist stripper EBR PG edge bead remover Acryl Strip resist stripper for NOTES

HANDLING NANO & COPOLYMER SERIES RESISTS (in Anisole or Chlorobenzene) Use precautions in handling flammable solutions. Avoid contact with eyes, skin, and clothing. Use with adequate ventilation. Avoid breathing fumes. Wear chemical-resistant eye protection, chemical gloves (PVA for chlorobenzene solutions) and protective clothing when handling NANO & Series Resist products. NANO & Series Resists cause irritation in case of contact with eyes, skin, and mucous membranes. In case of eye contact, flush with water for 15 minutes and call a physician immediately. Review the current MSDS (Material Safety Data Sheet) before using. MATERIAL AND EQUIPMENT COMPATIBILITY NANO & Resists are compatible with glass, ceramic, unfilled polyethylene, high-density polyethylene, polytetrafluoroethylene, stainless steel, and equivalent materials. Chlorobenzene is a powerful solvent and will attack various elastomers such as BUNA N, EPDM, HYPALON, and NEOPRENE. It will also attack PVC, CPVC and polyester. VITON A is recommended for both O-rings and tubing. PROCESSING ENVIRONMENT For optimum results, use NANO & Series Resists in a controlled environment. 20-25o ±1oC (68-77oF) is suggested. STORAGE Store upright in original containers in a dry area 50-80oF (10-27oC). Do not refrigerate. Keepaway from sources of ignition, light, heat, oxidants, acids, and reducers. Shelf life is 13 months from date of manufacture. DISPOSAL Each locality, state, and county has unique regulations regarding the disposal of organic solvents such as NANO Series Resists. It is the responsibility of the customer to dispose of NANO Series Resists in compliance with all applicable codes and regulations. See MSDS for additional information. 1254 C HESTNUT S TREET N EWTON, MA 02464 P HONE : 617.965.5511 F AX : 617.965.5818 E MAIL : mcc@microchem.com The information regarding these products is based on our testing to date, which we believe to be reliable, but accuracy or completeness is not guaranteed. We make no guarantee or warranty, expressed or implied, regarding the information, use, handling, storage, or possession of these products, or the application of any process described herein or the results desired, since the use and handling of these products are beyond our control. WWW.MICROCHEM.COM MicroChem Corp. copyright 2001. All rights reserved. LOR is a trademark of MicroChem Corp.