Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Similar documents
CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

EUVL Mask Defect Strategy

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

EUV Masks: Remaining challenges for HVM

Effects of Thin Film Depositions on the EUV mask Flatness

SEMI P10 for Automated Mask Orders

Development Status of EUVL Blank and Substrate

Lessons Learned from SEMATECH s Nanoimprint Program

Progress in EUV blanks development at HOYA

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Roadmap in Mask Fab for Particles/Component Performance

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Status of EUVL mask development in Europe

EUV Technology, Martinez, CA

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

EUV Mask Defect Reduction : Status and Challenges

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

More on VLSI Fabrication Technologies. Emanuele Baravelli

2006 UPDATE METROLOGY

Lithography options for the 32nm half pitch node. imec

Mask Defect Auto Disposition based on Aerial Image in Mask Production

EUV Products and Business Opportunity

EUV Products and Business Opportunity

EUV Transmission Lens Design and Manufacturing Method

EUV Transmission Lens Design and Manufacturing Method

450mm Metrology and Inspection: The Current State and the Road Ahead. Rand Cottle (CNSE), Nithin Yathapu (GF), Katherine Sieg (Intel)

EUVL Advancements Toward HVM Readiness

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

HYPRES. Hypres MCM Process Design Rules 04/12/2016

FIB mask repair technology for EUV mask 1. INTRODUCTION

Introduction to Nanoscience and Nanotechnology

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

Nanotechnology makes brighter LED s. Michael P.C. Watts

Intel Pentium Processor W/MMX

Introduction to Lithography

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

This document should be superseded by dimensional specification and technology-specific guidelines for circuit-quality wafers.

EUV Defect Repair Strategy

Wafer Level Molded DDFN Package Project Duane Wilcoxen

Motorola PC603R Microprocessor

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Photolithography I ( Part 2 )

Lithography. Enhancing Overlay Metrology Productivity and Stability Using an Off-line Recipe Database Manager

Product specification TiNOX energy

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Micron Semiconductor MT5C64K16A1DJ 64K x 16 SRAM

Fabrication Technology, Part I

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Fabrication and Layout

Imprint Lithography: Getting to the Next Level

2005 ANNUAL REPORT 2005 ANNUAL REPORT

Photolithography Process Technology

Supporting Information for

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Beam Leads. Spider bonding, a precursor of TAB with all-metal tape

Chips Face-up Panelization Approach For Fan-out Packaging

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Laser Produced Plasma for Production EUV Lithography

Multilayer Silver / Dielectric Thin-Film Coated Hollow Waveguides for Sensor and Laser Power Delivery Applications

Dallas Semicoductor DS80C320 Microcontroller

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

Next Generation Source Power Requirements. Erik R. Hosler

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

Inspection Facilities and Specifications for Automatic Optical Inspection Machines from DCB Automation

EV Group 300mm Wafer Bonding Technology July 16, 2008

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Micro- and Nano-Technology... for Optics

At wavelength characterization of EUV and soft X-ray gratings

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

Smoothing layers for EUV substrate defectivity mitigation

LASER GALVO SCANNING MIRRORS OPTOSIC SIC OPTICS FOR HIGH-END LASER PROCESSES

AGA Roof Deck Pavers. AGA Concrete Paver. Technical. Pavers: Hydraulically pressed concrete pavers: 460 tons of pressure.

Spatter-Free Stable Conduction and Keyhole Welding of Copper with 275 Watt Blue Laser

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Rockwell R RF to IF Down Converter

Surface Acoustic Wave fabrication using nanoimprint. Zachary J. Davis, Senior Consultant,

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

Masks for Extreme Ultraviolet

E-Beam Coating Technology for EUVL Optics

TFS PRODUCTION SOLUTIONS. TFS Production Solutions. For thin-film solar modules

Packaging and Ball Bonding Gold wire makes contact from bonding pads on chip to package Gold wire is formed into ball to make contact Uses an

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

SGS-Thomson M17C1001 1Mb UVEPROM

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

Key Technologies for Next Generation EUV Lithography

Impact of Litho on Design

Transcription:

Overview of SEMI Standards for EUV Masks Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force 1

4 Storage container and attributes of removable protection mechanism (3553) Removable protection mechanism EUVL mask standards Future Future focus focus on on RSP150 RSP150 format format White White paper paper being being prepared prepared for for Global Global PIC PIC Committee Committee ID marks 1st revision published as P38-1103 3 Multilayer coating material, absorber and buffer layers (P38-1103) Buffer layer Multilayer Absorber LTEM substrate Chucking forces 2 Chucking in processing and exposure tools, location of ID and alignment marks (P40-1103) Standard published as P40-1103 1 2 Mask substrate (P37-1102) 5 Cross-section of EUVL mask Automated mask order entry for EUV masks (P10) Revisions are proposed for balloting in mid-2004

1 Photomask as EUVL mask form factor takes advantage of existing mask infrastructure 152.0 ± 0.1 mm 142 mm 142 mm Defect quality area: 0 defects >50 nm PSL (3-8 defects equivalent >90 nm best) Edge region flatness: <1000 nm P-V Flatness quality area: 30-100 nm P-V flatness (60 nm best) 50 nm LOTV λ spatial > 152 mm (~250 nm) HSFR <0.15 nm rms; λ spatial (0.20 < 10 nm µmrms) Backside flatness quality area: Local slope < 1mrad; (<3 mrad) 30-100 nm P-V flatness (500 nm) 100 mm > λ spatial > 400 nm HSFR <0.50 nm rms; λ spatial < 10 µm Substrate material: 0 defects > 1 µm CTE < 30 ppb/ ºK at (<20 22±3ºC ppb/ ºK ) 6.35 ± 0.1 mm SEMI standard P37-1102 Note: Items in parentheses are approximate capability demonstrated to date, but they are not necessarily obtained on the same mask substrate 3

Summary: Supplier best data is within 1.5x of target - except defectivity Courtesy Chris Walton - LLNL

2 P38-1103: Mask absorber and multilayer standard Lists all parameters of the mask blank coatings that user and supplier need to define for mask performance, including patterning requirements Standard allows for many parameters to be negotiated between user and supplier, thus allowing for innovation in material choice. Allows for capping layers, conductive films, absorber layers, etc. Standard lists requirements for mask absorbers and multilayers through the 22-nm half pitch ITRS node Unpatterned mask or mask blank Patterned mask Absorber stack Multilayer stack Resist Absorber layer(s) Buffer layer Capping layer(s) Multilayers Underlayer(s) Substrate Conductive layer 5

Relationship model for SEMI P-38 Supplier (mask blank supplier) Responsible for verifying that the mask blank meets the requirements listed in the standard to the satisfaction of the user. Responsible for acquiring substrates that meet SEMI P37-1101. User/supplier negotiation for purposes of this standard User (mask patterning facility) Orders mask blanks from the mask blank supplier, Responsible for communicating patterning process and end user needs to the mask blank supplier (Guided by SEMI P10 for optical masks) End user (using exposure tool) Communicates mask performance and durability requirements to mask patterning facility. Some requirements are provided by the exposure tool supplier. Exposure tool supplier Defines some aspects of mask performance to end user to meet error budgets for exposure tool performance 6

3 P40-1103: Mounting (Chucking) Standard Three rules adopted for mounting Chuck flatness (~50 nm P-V) Clamping pressure (15r1.5 KPa) Chuck stiffness (>30,000 N-m) Minimum pin spacing >10mm Initial layout specified Pin sidewall angle θ Pin spacing, S p Pin period, P p Pin height, H p Area for patterns printed on wafer Chuck Maximum printable field (4x) 104 by 132 mm (26 by 33 mm at wafer) CL 66.0 76.0 Area reserved for alignment marks, ID marks, and handling (The position of these items are to be negotiated between user and supplier.) CL 52.0 76.0 7

Rationale for chucking standard Standard for chucking in: Pattern generator (e-beam or optical) Pattern placement metrology tool Exposure tool Without compensation, large pattern placement errors relative to 45-nm node requirements will occur Compensation for these errors will be difficult. Residual error from calculated compensations remains due to errors in measurement of deformation Compensation methods would need to measure the shape of both sides of the mask and predict the position of front surface points after clamping on a flat chuck Provides for further reduction of overlay error terms: Reduces placement error term due to stress in absorber stack and due to stress relaxation of multilayer stack Reduces impact of backside flatness errors on pattern placement LOTV requirement remains but errors could be compensated by height mapping on standard mount 8

4 Document 3553: Storage box standardization Components to be considered for 3553 Outgassing requirements Holding in box Particle protection SEMI EUV Mask Task Force decided at SEMICON/West to pursue amending an existing standard (E111) to include EUV requirements Allows focus on performance requirements rather than form factor. Global PIC Committee agreed to serve as review committee for 3553 White paper being prepared 9

5 SEMI P-10 for EUV Masks What is SEMI P-10? Specification Of Data Structures For Photomask Orders A precisely defined syntax for transferring mask data between mask customer and mask suppliers Mask order structure <EUV Mask Blank Type> included in SEMI Cycle 1 ballot 3863 (voting closes 2/25/2004) EUV_BLANK_TYPE (1, 2, or 3) EUV FLATNESS FRONT EUV FLATNESS BACK INSPECTION_AREA (x1,y1,x2,y2) EUV MULTILAYER COMPOSITION EUV CAPPING COMPOSITION EUV MMR WAVELENGTH EUV MEAN PEAK REFLECTIVITY EUV PEAK REFLECTIVITY UNIFORMITY EUV ABSORBER COMPOSITION EUV ABSORBER OPTICAL PROPERTIES EUV BLANK RESISTANCE EUV EXPANSION COEFF 10

Proposed updates to SEMI P-37 Change order of classes for flatness Review and modify spatial frequency requirements on flatness Relax dimensional tolerances somewhat Status: awaiting proposed mask storage and handling standard which might be affected by dimensional tolerances Review datum locations and use Films added to substrate might affect the use of the datum surfaces Datum approach intended to avoid the use of physical marks Include fiducial marks on the front of the substrate to aid in defect location registration Data matrix marks might be used 11

Possible revisions of SEMI P37-1102 (cont.) Change CTE specification to use ASTM E228-95 standard definition of mean CTE (proposed by Corning) Check whether slope of CTE with temperature needs to specified Specify maximum temperature of substrate during fabrication of mask or its use Modify specification on local slope and HSFR as follows: (see proposal made by Eric Gullikson at 2003 EUV Sympsoium) Reduce the frequency range on the HSFR specification to: 4 < f < 20 mm -1 (no smoothing) or 4 < f < 10 mm -1 (typical for ion beam deposition).* Adopt an RMS slope specification for frequencies < 3.5 mm -1. Mask Error Tolerance Frequency Range (µm -1 ) Flatness 50 nm P-V Legendre mode spec. TBD Slope (low) 0.5 mrad rms 0.001 < f < 0.4 Slope (high) 0.4 mrad rms 0.4 < f < 4 HSFR* 0.15 nm 4 < f < 20 * Ultimately could be decided between substrate and coating vendors. 12

Proposed updates to SEMI P-40 Increase detail in required layout to include areas for handling Need to reach consensus on regions for handling Increase clamping pressure requirement to 30 kpa A higher clamping pressure be considered, but the ability to implement an appropriate chuck needs to be determined. Pin/pedestal form factor and layout may be specified in more detail Improve Figure 5 chuck drawing and labeling Add flatness metrology tool to list of tools where standard applies Consider removing scope Section 2.1.1 since it is redundant with section 6.5 13

EUV Mask Layout (area reservations for exposure tools, some writers) (work in progress) 4 DM 3 Not reserved Reserved Suggested -Data Matrix ID -Fiducial marks* (1-2-4 or 2-3-5) Alternatives: -DM placed at #5 -DM placed where a barcode may be removed *Not to scale 5 1 Note overlap. Pattern Area (104 x 132 mm) ASML Proposed Handling Areas (6) 14 2 4x10mm pads for current EB tool mounting Corner chamfers are only on the mask backside; three chamfers total. Per ASML dwgs from 2/04 workshop, lower left corner is not chamfered.

EUV Mask Backside Layout ---Quality Area (142 x 142 mm) ASML Proposed Handling Areas (6) 15

Backup 16

Discussion of SEMI P40-1103 SPECIFICATION FOR MOUNTING REQUIREMENTS AND ALIGNMENT REFERENCE LOCATIONS FOR EXTREME ULTRAVIOLET LITHOGRAPHY MASKS 17

Proposed future technical changes to P-40 (1) Increase clamping pressure requirement Table 2 The mean clamping pressure, 151.5, seems to be decided based on a throughput 80WPH. If target throughput is 100WPH or 120WPH, the acceleration of reticle stage increases in proportion to (Throughput)^2. Therefore, the clamping pressure requirement should be increased, e.g. 303.0. However, the spatial frequency response of mask bending will become higher, and this will amplify IPD. A higher clamping pressure be considered, but the ability to implement an appropriate chuck needs to be determined. 18

Proposed future technical changes to P-40 (2) Pin/pedestal form factor and layout may be specified in more detail section 4.2.2 -- should there be mention that pins or pedestals are assumed to be radially or axially symmetric? I can t see that anyone would want to make a pin where the point isn t aligned with the center of the pin base, but it s a possibility. section 7.4 -- is there need for a pin layout style parameter? There s nothing that says the pins/pedestals must be laid out in a basic Cartesian matrix, or a hexagonal, or whatever; nor should this be specified within the standard. But including it as something to be specified between supplier & user may be helpful. Improvements to chuck drawing and labeling Figure 5 -- would this benefit from having a note as to how this crosssection is cut? Along a closest-pin axis, to minimize pin spacing Sp? Also the arrow indicating Hp should have a dotted plane reference at the top. 19

Proposed future technical changes to P-40 (3) Add flatness metrology tool to list of tools where standard applies Sections 2.1.1 and 6.5 The flatness metrology tool is one of key the tools to provide a flatness result. The mounting requirements should apply to it as well beside exposure tool, pattern generator and pattern placement metrology tool. Clarification Suggestion: Add the flatness metrology tool along with other 3 tools in the sections. Consider removing scope Section 2.1.1 since it is redundant with section 6.5 and the information in section 2.1.1 only applies to Section 6. Increase detail in required layout to include areas for handling Need to reach consensus on regions for handling 20

Discussion of SEMI P38-1102 SPECIFICATION FOR ABSORBING FILM STACKS and MULTILAYERS ON EXTREME ULTRAVIOLET LITHOGRAPHY MASK BLANKS 21

Proposed future technical changes to P-38 (2) Table 12: Editorial; usability of standard would be better if sheet resistance defined in Section 7.5 would be included in Table 12. The sheet resistance was removed from Table 12 to allow for the possibility of a conductive substrate material. Propose that the conductive layer is made optional in the text of Section of 7.5 and that sheet resistance is included in the text as stated and also in Table 12. section 14.1 -- if this is an international document, should "state laws" be revised, either to "regional" or the more cumbersome "state, province, district, prefecture, <insert geopolitical unit here>"? Not every nation uses states. section 6.2 delete the reference to section 9.1 of SEMI P37-1101. Specify the tolerance for the angle of measurement in Section 12.2.2. Table 5: Ambiguity for Mean Peak Reflectivity equal to 63% and 65% The values in the table represent a range of values. Should this be clarified? Propose that the ambiguity be clarified by giving exact value to higher class section 12.2 -- change "exceptions" to "restrictions". 22

Comments that didn t result in proposed editorial changes The quality area being agreed to between supplier and user should have a not to exceed size specified for example 132mm by 132mm. The layer quality area may need to be varied depending on the deposition conditions for the layers and or multilayers. The region outside of 132 by 132 mm may need to have specified properties for alignment marks and ID marks. Propose that no change is made until a layout (Figure 1 of draft 3419 on chucking) is accepted in a future ballot 23

Discussion of SEMI P37-1102 SPECIFICATION FOR EXTREME ULTRAVIOLET LITHOGRAPHY MASK SUBSTRATES 24

Possible revisions of SEMI P37-1102 Change order of classes for flatness D 30 nm, C 50 nm, B 75 nm, A 100 nm In standard P37-1102 in reverse order to allow more aggressive values if needed Other class values are not in reverse order. Make class order consistent. Review and modify spatial frequency requirements on flatness Consider allowing for free form flatness errors of mask substrate in addition to wedge and thickness variation. Free form flatness error = (front + back wedge) / 2 A proposal to use a basis set such as Legendre polynomials to characterize free form flatness errors will be considered. 25

Possible revisions of SEMI P37-1102 (cont.) Relax dimensional tolerances somewhat Increase tolerance on edge length to 0.2 mm from 0.1 mm Increase squareness from 0.01/25.4 to 0.04/25.4 Relax tolerances on rounded edge or camfer dimensions by ~2X Change the locations where the notch is dimensioned Status: awaiting proposed mask storage and handling standard which might be affected by dimensional tolerances Review datum locations and use Films added to substrate might affect the use of the datum surfaces Datum approach intended to avoid the use of physical marks Include fiducial marks on the front of the substrate to aid in defect location registration Proposed location is near the edge with ~0.5 micron depth Laser marks might cause chipping or serve as a location for defects to cluster Status: need experimental data on defects caused by marks (if any) See presentation by Jim Folta. So far, no convincing data is available that adding etched ID marks increases defect levels, but no data is yet available for etching marks into a glass EUV mask substrate. Incorporate learning from draft 3133 in the SEMI Traceability Committee 26

Possible revisions of SEMI P37-1102 (cont.) Change CTE specification to use ASTM E228-95 standard definition of mean CTE (see Corning presentation) Check whether slope of CTE with temperature needs to specified Specify maximum temperature of substrate during fabrication of mask or its use Modify specification on local slope and HSFR as follows: (to be updated by Eric Gullikson) Reduce the frequency range on the HSFR specification to: 4 < f < 20 mm -1 (no smoothing) or 4 < f < 10 mm -1 (typical for ion beam deposition).* Adopt an RMS slope specification for frequencies < 3.5 mm -1. Mask Error Tolerance Frequency Range (µm -1 ) Flatness 50 nm P-V Slope (low) 0.2 mrad rms 0.001 < f < 0.5 Slope (high) 0.4 mrad rms 0.5 < f < 3.5 HSFR* 0.15 nm 4 < f < 20 * Ultimately could be decided between substrate and coating vendors. 27

Summary of SEMI EUV Mask Task Force on July 14, 2003 24 attendees representing 15 companies or organizations signed in. Proposed changes to SEMI P37-1102 for EUV mask substrates were reviewed. Significant changes to CTE definition, flatness requirements, and roughness requirements are anticipated. Defect registration fiducial marks may be added. Targeting a ballot to further revise P37 after 3419 is accepted, so that flatness modes can be added to P37. Ballot results on SEMI draft 3688 (revision of P38-1102) for EUV mask blanks were reviewed. One negative may be withdrawn, Many editorial suggestions should be implemented if the standard is updated. Ballot results on SEMI draft 3419 (mask mounting) for EUV masks were reviewed. The ballot was clean, but many editorial suggestions should be adopted. Future updates might include pin location, pin shape, increasing clamping pressure requirements, and a revised layout with exclusion zones for handling. The blue ballot results for 3553 on mask carriers were reviewed. The committee participants voted to focus on the RSP-150 format rather than the RSP-200 format for the carrier. A poll of the PIC and Microlithography voting members will be taken to verify this choice. A revision to E-111 will be pursued to accommodate EUV carrier requirements, and balloting will be undertaken by the PIC committee. 28

Proposed Modifications to SEMI P- 10 for EUV Masks Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force 29

Current Ordering Methods Details Details 30Courtesy of Wes Erck and Harold Patterson, July 2003

Administrative errors account for 4% of mask yield loss From: Kurt Kimmel, Mask Industry Assessment 2003, SPIE Symposium on Photomask Technology, 31 BACUS 2003

Reason For Returns From: Kurt Kimmel, Mask Industry Assessment 2003, SPIE Symposium on Photomask Technology, 32 BACUS 2003

What is SEMI P10? Specification Of Data Structures For Photomask Orders A precisely defined syntax for transferring mask data between mask customer and mask suppliers Two structures Mask orders (customer to supplier) Mask results (status and measurements from supplier to customer) Specific limitations and conventions Specifically defined terminology Currently 613 distinct keywords Examples of P10 order files 33 Wes Erck

Typical Reticle Order System Basic order info Device specific insp. info Vendor activities Tracking system Jobdeck Write reticle Customer jobdeck or Placement info CD Defects Quality specs Registration Device specific order info Pellicle Packaging Packaging specs Shipping Courtesy of Wes Erck, Jim McCracken 34 and Harold Patterson, July 2003 CenterLink

Order System with SEMI P10 Customer Vendor activities Tracking system Jobdeck Write reticle SEMI P10 file CD Defects Registration Pellicle Packaging Shipping Courtesy of Wes Erck, Jim McCracken 35 and Harold Patterson, July 2003 CenterLink

SEMI P10 Structure The data model is influenced by concepts from GDSII and MEBES jobdeck format Hierarchy is used to organize data and manage complexity Key elements Should append EUV specific parameters Mask definition - usually one for each physical substrate Pattern definition - individual pattern file details Pattern group - collection of pattern definitions, the basic unit of pattern placement Cell definition -contains Pattern group placements and/or placements of other Cell definitions Mask group - collection of reticles with common pattern layout Multiple mask groups used for mix and match Courtesy of Wes Erck, Jim McCracken 36 and Harold Patterson, July 2003 CenterLink

P10 Keyword Level Should append EUV specific parameters Mask definitions Cell definition Reticle 1 Reticle 2 a a b b a a b b Substrate Pellicles Titles CDs Defects Registration Inspection results Cell definitions Pattern placement CD_DATA CD_DIGITIZED CD_TONE_CLEAR CD_ISOLATED CD_DENSE CD_TARGET CD_ORIENTATION CD_REFERENCE_ONLY CD_TOLERANCE CD_XY_TOLERANCE CD_XY_DEVIATION CD_RANGE CD_THREE_SIGMA CD_STD CD_EQUIP_REQD Pattern definitions PG1 a b Pattern data CDs Defects Registration PATTERN_ADDRESS_SIZE SCALE_FACTOR DIGITIZED_DATA_DARK UNSCALED_PATTERN_SIZE MIRROR_PATTERN... Courtesy of Wes Erck, Jim McCracken 37 and Harold Patterson, July 2003 CenterLink

Relationship model for EUV mask requirements Supplier (mask blank supplier) Responsible for verifying that the mask blank meets the requirements listed in the standard to the satisfaction of the user. Responsible for acquiring substrates that meet SEMI P37. User/supplier negotiation for purposes of P-38 User (mask patterning facility) Orders mask blanks from the mask blank supplier, Responsible for communicating patterning process and end user needs to the mask blank supplier (Should be guided by SEMI P10) End user (using exposure tool) Communicates mask performance and durability requirements to mask patterning facility. Some requirements are provided by the exposure tool supplier. Exposure tool supplier Defines some aspects of mask performance to end user to meet error budgets for exposure tool performance 38

Proposed priority 1 2 2 2 Proposed SEMI P-10 elements for Substrate EUV masks Mask blank attributes need to be specified by party ordering patterned mask Pellicles Selected items from Table 2 in SEMI P38 Requirements for mask protection from defects might be appropriate CDs May be sidewall slope requirement Defects May be EUV-specific requirements regarding printability or location (buffer vs. absorber) 39

Proposed items to be included in substrate parameter list in SEMI P- 10 for EUV masks Defect quality area Flatness Material composition of multilayers Composition of capping layers on the multilayer stack Multilayer stack mean median reflected wavelength Multilayer stack mean peak reflectivity Multilayer stack peak reflectivity uniformity Absorber stack material composition Optical properties of absorber stack Electrical resistance measured between the surface of the absorber stack and the backside of the mask blank 40

Contacts for SEMI P-10 questions Wes Erck, wes.erck@sbcglobal.net Article in MICRO Magazine, June 2002, Streamlining the front-end reticle fabrication process by improving mask ordering by Edward Suttile, Charles Croke, and James Morrison, Photronics, at http://www.micromagazine.com/archive/02/06/suttil e.html. 41