PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING

Similar documents
A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

MICROCHIP MANUFACTURING by S. Wolf

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Optimization of Ion and Electron Properties in IC Packaging Applications

CHARGED PARTICLE PHYSICS BRANCH CODE 6750

Today s Class. Materials for MEMS

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

RIE lag in diffractive optical element etching

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

2.830J / 6.780J / ESD.63J Control of Manufacturing Processes (SMA 6303) Spring 2008

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

SCALING OF PFC ABATEMENT USING PLASMA BURN BOXES*

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Thermal Evaporation. Theory

AC : MICROWAVE PLASMA CLEANER DESIGN FOR SEMI- CONDUCTOR FABRICATION AND MATERIALS PROCESSING LABO- RATORY USE

ABSTRACT 1. INTRODUCTION

Etching Mask Properties of Diamond-Like Carbon Films

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Physical Vapor Deposition (PVD) Zheng Yang

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Process Stability in Photo Mask Manufacturing

Using Argon Plasma to Remove Fluorine, Organic and Metal Oxide Contamination for Improved Wire Bonding Performance

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

!"#$#%&#'(() ) **+,-./01)2-,-.3)456,1) /0! **)

CORIAL D500. Large capacity batch system for 24/7 production environment

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

POST OXIDE ETCHING CLEANING PROCESS USING INTEGRATED ASHING AND HF VAPOR PROCESS OHSEUNG KWON. at the Massachusetts Institute of Technology June 1999

Semiconductor Manufacturing Process 10/11/2005

Corial D500 No mechanical cleaning

High Rate Deposition of Reactive Oxide Coatings by New Plasma Enhanced Chemical Vapor Deposition Source Technology

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller Webpage:

Fabrication Technology, Part I

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

Chapter 3 Silicon Device Fabrication Technology

Intlvac Nanochrome I Sputter System (intlvac_sputter)

LAM4600 Plasma Etch Tool Recipes Dr. Lynn Fuller

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Make sure the exam paper has 9 pages total (including cover page)

IC/MEMS Fabrication - Outline. Fabrication

Title. Author(s)Shimozuma, M.; Date, H.; Iwasaki, T.; Tagashira, H.; Issue Date Doc URL. Type. Note. Additional There Information

PRELIMINARY FORMATION OF DEEP TRENCH C~P~CITORS

SiC Backside Via-hole Process For GaN HEMT MMICs Using High Etch Rate ICP Etching

Processing Procedures for CYCLOTENE 3000 Series Dry Etch Resins

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Plasma for Underfill Process in Flip Chip Packaging

INTEGRATED-CIRCUIT TECHNOLOGY

Self organization and properties of Black Silicon

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Australian Journal of Basic and Applied Sciences. Utilization of Oxygen Plasma For Plasma Ashing and Etching Process

ECE 541/ME 541 Microelectronic Fabrication Techniques

OverVizGlow. Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation

Merle D. Yoder, Jr. 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

PLASMA TREATMENT OF X-Wire. Insulated Bonding Wire

Plasma..TI'1eITI1 I.P.

Deep SiO 2 etching with Al and AlN masks for MEMS devices

UHF-ECR Plasma Etching System for Gate Electrode Processing

VERSATILE DEVICE FOR IN-SITU MULTIPLE COATINGS OF LONG, SMALL DIAMETER TUBES Ady Hershcovitch 1, Michael Blaskiewicz 1, J. Michael Brennan 1, Art

CYCLOTENE* 3000 Series Advanced Electronic Resins

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

RightCopyright 2006 American Vacuum Soci

Fabrication Technology

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

HBr Etching of Silicon

Influence of Oxygen Flow Rate on the Variation of Surface Roughness of Fused Silica during Plasma Polishing Process

L5: Micromachining processes 1/7 01/22/02

Plasma Quest Limited

GA A25702 DEVELOPMENT OF A NEW HORIZONTAL ROTARY GDP COATER ENABLING INCREASED PRODUCTION

Czochralski Crystal Growth

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Si DRIE APPLICATION In Corial 210IL

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Mini RF-driven ion sources for focused ion beam systems

Effects of Radio Frequency Power and Sulfur Hexafluoride Flowrate on Etch Rate of Silicon Dioxide

Plasma technology overview

Plasma Etching of Polycrystalline Silicon using Thinning Technology for Application in CMOS and MEMS Technologies

Previous Lecture. Vacuum & Plasma systems for. Dry etching

CMOS Manufacturing process. Design rule set

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Application Note. R*evolution III Remote Plasma Source: Low Particle Performance in O 2 / N 2. Photoresist Ashing PROBLEM. BACKGROUND R*evolution III

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

Optimization of the Sputtering Process for Depositing Composite Thin Films

Transcription:

PLASMA CLEANING TECHNIQUES AND FUTURE APPLICATIONS IN ENVIRONMENTALLY CONSCIOUS MANUFACTURING Pamela P. Ward Dept. 1812, Sandia National Laboratories Albuquerque, New Mexico Abstract Plasmas have frequently been used in industry as a last step surface preparation technique in an otherwise predominantly wet-etch process. The limiting factor in the usefulness of plasma cleaning techniques has been the rate at which organic materials are removed. Recent research in the field of plasma chemistry has provided some understanding of plasma processes. By controlling plasma conditions and gas mixtures, ultra-fast plasma cleaning and etching is possible. With enhanced organic removal rates, plasma processes become more desirable as an environmentally sound alternative to traditional solvent or acid dominated process, not only as a cleaning tool, but also as a patterning and machining tool. In this paper, innovations in plasma processes are discussed including enhanced plasma etch rates via plasma environment control and aggressive gas mixtures. Applications that have not been possible with the limited usefulness of past plasma processes are now approaching the realm of possibility. Some of these possible applications will be discussed along with their impact to environmentally conscious manufacturing. Introduction Industrial cleaning with solvents produces large volumes of waste. Often the purpose of the solvent cleaning process is to remove organic oils, fluxes or polymers from surfaces either to promote adhesion or to mitigate corrosion. A typical production-scale cleaning process can generate very large volumes of solvents tainted with various contaminants. Some of the solvents can be recycled, but the majority must be disposed of by incineration or landfill. This work was performed at Sandia National Laboratories supported by the U.S. Department of Energy under contract #DE-AC04-94AL85000 Within the DOE complex, oil-contaminated scrap metal generated from machining radioactive

materials is a particular concern. Machine oils used during the cutting and forming operations remain on the scrap material leading to a mixed waste problem that must be disposed of per EPA and NRC 1 regulations. To date, there is only one facility in the nation that will accept mixed waste. A non solvent-based method to remove cutting oil would substantially aid in scrap recycling or disposal. One such alternative method for organic removal is plasma cleaning. If an object is immersed in a glow discharge plasma of a suitable gas, the bombardment of the surface with energetic ions and 2 molecules results in the removal of surface contaminants. Commercial plasma reactors are available and are often used in manufacturing for cleaning. The plasma in these reactors is achieved by reducing the pressure to below one Torr and flowing a gas such as argon or oxygen through the system. In cleaning an item, the total quantity of chemical waste generated in the plasma treatment is small when compared to conventional cleaning with solvents, about 0.5 gram of carrier gas with trace contaminant gases (assuming plasma conditions of 25 standard cubic centimeters per minute (sccm) of oxygen for 15 minutes). By contrast, solvent cleaning of the same item may generate liters of contaminated solvent. The slow cleaning rate of a plasma limits the widespread use of this environmentally superior process. In a typical commercial plasma reactor, the rate of organic removal is less than 0.4 m/hour. Thus, current industrial practice calls for plasma cleaning only as the last step in a solvent-based 3 process to achieve a pristine surface. Plasma cleaning used in this way does not contribute to waste minimization. In order to make plasma cleaning an effective tool for waste minimization, the process must be accelerated by several orders of magnitude so that solvent pre-cleaning is unnecessary. Here we discuss some techniques for enhanced plasma removal of organics. We will evaluate some applications of plasma cleaning and etching that have been considered impractical or impossible in the past, but are now becoming feasible. DISCUSSION In our limited studies of the effects of plasma conditions and gas mixtures, we have observed that a plasma system is a complex process. By varying a few parameters, we have been able to enhance organic removal rates by several orders of magnitude. Other studies have investigated different plasma parameters for enhanced performance. One such parameter is reactor geometry. We have observed in our laboratory that very small changes in reactor geometry can cause large changes in plasma performance. We have encountered many of these effects by accident when some insignificant alteration in the reactor results in major changes in performance. Electrode spacing and size, roughness of electrodes or reactor walls, patterning of electrodes, size of reactor, load of reactor and charging of electrodes are a few parameters that may have a very large effect on the performance of a plasma system. Many of these effects have been documented to some degree by other researchers. The following is a brief overview of our observations and some of these studies. Plasma Chemistry Many industrial reactors use an oxygen or argon plasma to remove trace amounts of organic contaminant. Although both gasses are adequate for plasma cleaning, alone they are not very effective, removing only a few micrometer per hour. In our laboratory, we have studied the effects of several gas mixtures. One study examined the effects of adding a fluorine containing gas such as

MEAN (m/hour) ETCH RATE sulfur hexafluoride to an oxygen plasma. The etch rate of several polymers was observed. In earlier work, for example, ultra fast removal of polymer insulation from wires was achieved by adding SF 6 to a pure oxygen plasma. The observed removal rate of 150 m/hour greatly exceeds previously reported cleaning rates. These observations are supported by subsequent work in microelectronic fabrication. High organic removal rates have been reported in etching plasma used to pattern wafers 4 in integrated circuit manufacture. In the etch of polycrystalline silicon, degradation of the organic photoresist mask is undesirable, thus one tries to minimize the plasma attack on organics. It has been observed that the addition of fluorine containing species to a pure oxygen plasma greatly increases 4,5,6 the removal rate of photoresist. Experiments designed to optimize the etch rate of polymers have demonstrated etch rates of PMMA in excess of 250 m/hour with proper plasma conditions and gas mixtures (fig. 1). Similar experiments in which the etch rate of Delrin was studied as a function of gas chemistry and chamber pressure resulted in etch rates of 2600 m/hour. With etch rates approaching several hundred micrometer per hour, plasma cleaning applications that were impractically slow or impossible in the past are now viable. 280 240 200 160 120 80 40 0 0 20 40 60 80 100 % SF 6 IN O 2 Figure 1 Mean Etch Rate of PMMA as a Function of %SF in O 6 2

ETCH RATE (m/hour) 2600 2500 2400 2300 2200 2100 2000 50 100 150 200 250 PRESSURE (mtorr) Figure 2 Etch Rate of Delrin as a Function of Chamber Pressure Electrode Design and Effects The design of the electrodes plays a large role in etch rate and uniformity of etch. Selection of electrode materials may have a significant effect in the ability of some systems to etch some materials. Since some electrodes may themselves be etched or sputtered away, improper electrode selection may result in induced contamination and decreased yield or constantly changing electrode dimensions and non-reproducible or non-uniform processes. For example, aluminum electrodes may be sputtered in fluorine chemistry or etched in chlorine containing gasses. Aluminum oxide electrodes are resistant to fluorine containing gasses but may be slowly etched in chlorine containing gasses. Non parallel electrodes may also result in non-uniform etch and poor contact between sample and electrode may affect etch rate and uniformity. Other factors to consider when selecting an electrode are possible heating effects. Electrodes that are not actively cooled will be raised to an elevated, often unknown temperature. This heating may have a positive or negative effect on etch rate 7 depending on the system and the material being removed. Spacing of the electrodes is another method by which etch rate may be manipulated. A narrow spacing of the electrodes will often enhance the etch rate by confining the plasma in a smaller area. Increasing the electrode spacing tends to decrease the etch rate but enhance etching 8,9 uniformity. Since changing electrode spacing changes the plasma density, dc self-bias also changes. Thus the effect of ion bombardment will be altered. Electrode size and surface roughness contribute to the capacitance of the system. In a parallel plate arrangement, selection of which electrode is rf-powered relative to the substrate has an impact on the mechanism by which the etching occurs. For example, if the substrates are mounted on the rf-powered electrode the primary mechanism at work is reactive ion etch or reactive sputter etch. 10,11 If the substrates are mounted on the grounded electrode, plasma etching occurs. When both

electrodes are rf-powered, triode etching takes place. An illustration of a system that may employ 12 any of these options is shown in Fig. 3. An apparatus with this variability greatly enhances the usefulness of the system. For selectivity and controlled etching, more than one of these techniques can be employed. Depending on the etch profile desired, isotropic or anisotropic, chemical dominated plasma etch or ion bombardment may be more efficient. Temperature Effects The temperature of the substrate during etch impacts several factors that can either enhance or negatively affect the desired results. Characteristics such as etch rate, selectivity, morphology and uniformity can reflect small changes in substrate temperature. Higher temperatures can enhance chemical etching but may also cause surface roughness and more isotropic etching. In processes in which a high degree of selectivity is desired such as etching Si relative to SiO 2 in a fluorine containing 13 plasma, as temperature increases selectivity decreases. On the other hand, photoresist etch rates increase with increased temperature, but if the temperature is too high, the resist may flow or burn. 14 Substrate temperature control can be achieved by operating the plasma at lower power or 15 increased pressure. At increased power, ion bombardment increases causing the substrate to warm. At lower pressures, the coefficient of heat transfer is decreased. Conversely if higher temperatures are desired, higher power and increased pressure can add to sample warming. Another method to control substrate temperature during the plasma cycle is by backside cooling of the sample. Water cooling or cryogenic cooling can maintain constant substrate temperature. With cryogenic cooling, sidewall etch rates can be reduced however, polymer formation 16 on the surface and sidewalls of the substrate is increased. With the addition of a resistant heating coil and temperature probe, controlled heating can be obtained. (rf) 1 Etch gas Substrate Pump (rf) 2 (rf) 1 0 (rf) 2 = 0 (rf) 1 = 0 (rf) 2 0 (rf) 1 0 (rf) 2 0 Plasma etching Reactive ion etching Triode etching Figure 3 RF Powered Electrode Configuration Pressure Effects Plasma pressure may be one of the most important process parameters. In systems where the primary mechanism of plasma etch is the chemical etching component, increased pressure in the chamber results in more reactive species present. In systems in which ion bombardment is the primary

actor, increase in pressure does not have such a pronounced effect although system pressure can effect ion density and energy. For example in etching Si in a CF 4/O 2 plasma, in a low pressure regime, ion bombardment is the dominant player and the result is an anisotropic etch. At higher pressures, neutrals play an important role and chemical etching enhances etch rate resulting in a more isotropic etch. 17 Pressure of the system also impacts the dc self-bias. In a reactive ion etch system, increased pressure will reduce dc self-bias. At lower pressures, electron temperature will increase and result in an increase in dc bias. Therefore, the etch rate of ion-enhanced processes could be reduced with 18 increasing pressure. In higher pressure systems, lower peak to peak voltages are required which 19 result in lower average ion energies. Lower pressures result in higher energy and less off-axis ion bombardment. In other words as pressure increases, ion flux increases but ion energy decreases. As pressure decreases, ion flux decreases and ion energy increases. 20 Power and RF Frequency Effects The power and rf frequency at which a plasma is operated can play a role in the performance of the plasma. Both the electrical and chemical properties of the plasma can be effected by the discharge frequency. At low frequencies, the electrons can respond to the oscillating electric field. As the plasma frequency is increased, the electrons will oscillate back and forth and encounter several collisions with neutrals. At higher frequencies, the operating voltage will be smaller for the same power dissipation. At frequencies below transition frequency, approximately 1 MHz, ions can cross the sheath before the electric field is reversed. Therefore, below 1 MHz, the process is more ion driven. Above 1 MHz, more undercutting will happen since the process will be less ion energy dependent. 21,22 The amount of power applied to a plasma system effects several plasma parameters discussed previously such as electrode temperature. The factor most driven by plasma power is the dc bias of the system. As power increases so does dc bias. In the etching of unpatterned wafers in the microelectronic industry, etch rate will continue to increase with power. With patterned wafers however, etch rate peaks at about 200 watts due to photoresist sputtering. By utilizing higher power with lower pressures, greater ion energies are obtained further enhancing etching due to ion bombardment. 23,24 APPLICATIONS AND SUMMARY Through very preliminary work in our laboratory and a brief review of some work by others in the field of plasma physics, drastic results in plasma performance are witnessed. With a rising need for environmental conscious methods of cleaning and processing materials, new innovative techniques are required. Plasma techniques, which have been used for decades as last step cleaners in otherwise predominantly wet-solvent based processes, are capable of much more. By manipulating the plasma environment, drastic improvements in performance can be obtained. With careful examination of each system and its objectives, new techniques can be implemented to enhance the overall usefulness of that system. As etch rates approach 2600 m/hour, as witnessed in our work with Delrin, plasma process evolves from the last step cleaner regime to that of a machining tool. By incorporating chemical reaction based plasma etch with reactive ion etch, three-dimensional etches are possible. With the utilization of masking techniques, intricate geometries can be plasma machined. Since plasma techniques produce little or no waste products, they are far more desirable to solvent or acid

based techniques where gallons of waste may be generated. For biomedical applications, plasma systems are hostile to viral and bacterial contamination therefore plasma cleaned or machined components would be sterile upon exiting the system. Batch solvent/acid bath systems remove gross contamination leaving a monolayer of contamination behind that must be plasma removed or subjected to autoclave. Because of these advances and advances on the horizon, plasma processes are expanding into applications once thought impractical or impossible. Some applications on the horizon are rapidly approaching reality. Plasma machining of intricate components for use as prosthetic devices within the human body is one application that is becoming a possibility. As human prosthetics become smaller and more intricate, unique machining methods are required. Artificial components for within the human ear or mechanical supports for arterial grafts are only two possible prosthetic devices that could be plasma machined, cleaned and sterilized. Another application for plasma process is the rapid removal of gross amounts of organic contaminants from scrap metal. This application would be particular useful for the reduction of machining oils on radioactive scrap metal. In the forming processes used for the manufacture of radioactive component, large quantities of radioactive scrap contaminated with machine oils are generated. Rapid plasma cleaning could remove all oil from the scrap metal essentially eliminating the mixed waste problem while allowing the scrap metal to be recycled, further reducing the amount of overall waste. Plasma processing could make porous various polymer films such as Teflon or Lycra for use as artificial skin graphs or transdermal medicinal patches. Again since the component would exit the system sterile, no further processing would be necessary before the device was suitable for contact with the human body. Since the process could be refined, the porosity could be controlled making the process flexible to several applications. Complex patterns could be achieved to great depths in components where well-defined holes and trenches are necessary. By minimizing the amount of chemical etch and maximizing the amount of ion bombardment, well-defined sidewalls could be obtained. Conversely, by enhancing the chemical etch component of plasma processes and minimizing the reactive ion etch, undercutting may be achieved if desired. These are only a few applications in which an enhanced plasma system may be ideal. Much is known about the optimization of the plasma process, but much more remains to be understood. With a continuing effort to understand the mechanism involve in plasma etching, the usefulness of these systems will expand. Plasma is a viable alternative to other large waste producing techniques, however slow process times have been the limiting factor in their usefulness. By understanding the mechanism involved in the process in question and designing the plasma system to best suit the need, plasma cleaning, etch and machining will become the first choice industrial process. REFERENCES 1. Envirocare of Utah, Inc., received a RCRA Part B Permit in 1990 in allowing it to

store and dispose of radioactive/hazardous waste at its South Clive facility. 2. E. M. Liston, J. Adhesion 30, 199 (1989) 3. Private communications from integrated contractors. 4. D. W. Hess, D. B. Graves, in "Microelectronics Processing: Chemical Engineering Aspects, Advancement in Chemistry Series 21, edited by D. W. Hess and Klavs F. Jensen, American Chemical Soc., Washington DC, 377-440 (1989) 5. G. Turban, M. Rapeaux, J. Electrochem. Soc. 130, 2231 (1983) 6. M. Kogoma, G. Turban, Plasma Chem. Plasma Process. 6, 349 (1986) 7. Manos and Flamm, Plasma Etching, An Introduction, Academic Press INC., London (1989) 8. Sugano, "Applications of Plasma Process to VLSI", p165 (1985) 9. G.S. Mathad, Proceed. 6th Plasma Process. Sympos. Electrochem Soc.,p134 (1987) 10. J. A. Bondur, J. Vac Sci. Technol. 13, 1023 (1976) 11. H.W. Lehmann and R. Widmer, J. Vac. Sci. Technol. 15, 319(1978) 12. J. W. Coburn, "Plasma etching and Reactive Ion Etching", AVS Monograph Series, p. 9 (1982) 13. Flamm et al., VLSI Electronics, Vol. 8, Chap. 8 (1984) 14. Melliar-Smith and Mogab,"Thin film Processes", J. Vossen Ed., (1979) 15. D. Vogel and F. Wong, SPIE (1987) 16. M. Salimian, "Plasma Etching Technologies and Processes" (1990) 17. Suzuki et al., J. ElectroChem. Soc. 126,1024 (1977) 18. Salimian et al., J. Vac. Sci. Technol. B5, 1606, (1987) 19. (C. Horwitz, J. Vac. Sci. Technol. A1, 1796 (1993) 20. Aydil and Economou, Proceed. 8th Plasma Process. Symp., Electrochem Soc. p25, (1990) 21. R. H. Bruce, J. Applied Phys. 52, 7065 (1981) 22. R. H. Bruce, Solid State Technol., p64, (Oct 1981)

23. Salimian et al., J. Vac. Sci. Technol. B5, 1606 (1987) 24. Allen and Sawin, J. Electrochem Soc. 133, 2331 (1986)