EUV Defect Repair Strategy

Similar documents
Roadmap in Mask Fab for Particles/Component Performance

EUV Mask Defect Reduction : Status and Challenges

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

Progress in EUV blanks development at HOYA

EUVL Mask Defect Strategy

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

EUV Technology, Martinez, CA

EUVL Advancements Toward HVM Readiness

EUV Masks: Remaining challenges for HVM

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

FIB mask repair technology for EUV mask 1. INTRODUCTION

Development Status of EUVL Blank and Substrate

EUV Products and Business Opportunity

EUV Products and Business Opportunity

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

Mask Substrate/Blank Cleaning Progress Challenges

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Impact of New MoSi Mask Compositions on Processing and Repair

Electron Beam Induced Processes and their Applicability to Mask Repair

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

E-Beam Coating Technology for EUVL Optics

Effects of Thin Film Depositions on the EUV mask Flatness

SEMI P10 for Automated Mask Orders

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

EUV Transmission Lens Design and Manufacturing Method

Status of EUVL mask development in Europe

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

Status and Challenges in EUV Mask Cleaning

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Control technology of EUV Optics Contamination:

EQ-10 EUV Source for Actinic Defect Inspection Panel Discussion. Debbie Gustafson

State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti,

EUV Transmission Lens Design and Manufacturing Method

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Mask Defect Auto Disposition based on Aerial Image in Mask Production

Droplet-based EUV LPP Source for High Volume Metrology

GaN on Si Manufacturing Excellency in CMOS Foundry Fab

Introduction to Lithography

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Smoothing layers for EUV substrate defectivity mitigation

Supporting Information for

EUV optics lifetime Radiation damage, contamination, and oxidation

Process Control and Yield Management Strategies in HBLED Manufacturing

Lessons Learned from SEMATECH s Nanoimprint Program

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Peter Wennink Good morning / good afternoon ladies and gentlemen and thank you for joining us for our Q results conference call.

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems

Copper Interconnect Technology

Lecture 22: Integrated circuit fabrication

Laser Produced Plasma for Production EUV Lithography

EUV Related Work at L-3 Integrated Optical Systems

4th Annual SFR Workshop, Nov. 14, 2001

EUV multilayer coatings: potentials and limits

Optical and Physical Characteristics of EUV Phase Shift Masks

450mm Transition Update

Dave Jarzynka. Brooks Semiconductor Solutions Group

Photomask AUGUST N E W S. Take A Look Inside: Industry Briefs see page 9. Calendar For a list of meetings see page 10. Volume 28, Issue 8 ABSTRACT

Semiconductor Device Fabrication

Exhibitor Information

Towards cost-effective and low defectivity DSA flows for line/space patterning

Technical Summaries. spie.org/al12. Conference and Courses February 2012 Exhibition February 2012

EUV patterning improvement toward high-volume manufacturing

Plasma Quest Limited

Using Atomic Force Microscopy (AFM) for Engineering Low Scatter Thin Film Optics

CHARACTERIZATION REQUIREMENTS OF THE CMOS INDUSTRY PAUL VAN DER HEIDE

Advanced Lithography Updates and Challenges for Metrology and Inspection

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

Imprint Lithography: Getting to the Next Level

Chapter 3 Silicon Device Fabrication Technology

EECS130 Integrated Circuit Devices

Process Development and Process Integration of Semiconductor Devices

SPECIAL SPECIFICATION 1184 Testing, Training, Documentation, Final Acceptance, and Warranty

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

Good Practice Guide for nanoindentation of nanoparticles embedded in a layer using an SEM in situ technique

NIL defect performance toward High volume mass production

Introduction to Nanoscience and Nanotechnology

Key Technologies for Next Generation EUV Lithography

Aluminum oxide barrier layers on polymer web

Level 1 Physics, 2014

Czochralski Crystal Growth

HPLC to UPLC Method Migration: An Overview of Key Considerations and Available Tools

ASML - A strong company on a growth trajectory

NanoSystemsEngineering: NanoNose Final Status, March 2011

Low energy electron bombardment induced surface contamination of Ru mirrors

Next Generation Source Power Requirements. Erik R. Hosler

Lithography options for the 32nm half pitch node. imec

The Development of a Downhole Corrosion Sensor for Condition Monitoring of Electrical Submersible Pumps

Wafer Scale Packaging of MEMS by Using Plasma-Activated Wafer Bonding

Transcription:

EUV Defect Repair Strategy J.H. Peters, S. Perlitz, U. Matejka, W. Harnisch, D. Hellweg, M. Weiss, M. Waiblinger, T. Bret, T. Hofmann, K. Edinger, K. Kornilov Carl Zeiss SMS / SMT 2011 International Symposium on Extreme Ultraviolet Lithography Miami, FL, 2011

Agenda 1 2 3 4 Blank and Mask Defects Repair Strategy AIMS EUV Status Summary 10.10.2011 2

Agenda 1 2 3 4 Blank and Mask Defects Repair Strategy AIMS EUV Status Summary 10.10.2011 3

Defect Flow Substrate Polishing ML Deposition Mask Patterning Transport/Usage in Fab Each manufacturing and handling step potentially adds defects (particle and/or pattern defects) Goal is to design a manufacturing flow that mitigates defects as much as possible or repairs defects that have been added in the preceding steps Target is zero printable defects 10.10.2011 4

Blank Defect Level Large gap for zero defect blanks low yield for production EUV blanks will still have defects when high volume manufacturing starts Challenge: take this fact into consideration for mask manufacturing Source: SEMATECH 10.10.2011 5

Mask Manufacturing Flow Blank inspection tools need to deliver exact locations and sizes of defects Pattern will be placed in a way to hide majority of remaining defects Followed by standard mask manufacturing process Design shift for known defect coordinates blank with defects Partially compensate during writing Patterned mask with defects Flow will results in mixture of absorber defects and ML defects Absorber Capping Layer Multi- Layer Blank substrate 10.10.2011 6

Find and Review Defects There are infrastructure gaps to find and review defects with EUV light Joint industry effort to close the gaps led by SEMATECH One of the projects has started: AIMS EUV development to close defect actinic review gap Find defects Source: Andy Ma (EUVL 2010 Kobe) Review defects Blank defects convert Into phase defects Phase defects cause printing defects although patterning was perfect Aerial Image Solely actinic 13 nm mask qualifications by AIMS EUV can predict printing behavior of the defects in EUV mask manufacturing environment 10.10.2011 7

Agenda 1 2 3 4 Blank and Mask Defects Repair Strategy AIMS EUV Status Summary 10.10.2011 8

EUV absorber and ML defect repair strategy The MeRiT can locate the exact position of defects with a surface topography With the ebeam (including BSE detector) With the in-situ AFM (optional feature) Classical absorber repair absorber repair Compensational repair 10.10.2011 9

Classical Absorber Repair with MeRiT HR SEM image EUV Mask: Before repair After repair SEM image Wafer print: Before repair After repair Defect was repaired successfully 10.10.2011 10

EUV Defects and Compensational Repair EUV-specific multilayer defects are often SEM-invisible use AFM Printing defects can be +/- 3 nm shallow: Compensational repairs performed Multilayer defect type Wafer print Mask SEM Mask AFM AFM cross-section Bump Pit? -5 nm 10.10.2011 11

Defect Repair with MeRiT HR Removing pattern defects. EUV mask pattern repair possible already now with MeRiT HR 32 Further refinement with future platforms Compensating effect of blank defects Mitigation of ML defects by compensational repair shown Making use of in-situ AFM feature of MeRiT HR 32 Removing pattern defects compensating ML defects SEM image EUV Mask: Before repair Before repair After repair SEM image Wafer print: Before repair After repair Q2 2011 10.10.2011 12

Repair Strategy using AIMS and MeRiT AIMS EUV MeRiT HR AIMS EUV Defect map Defect Type Defect Review Repair Strategy Repair Review 193 Defect Map Pattern Inspection Phase & Transmission Defect AIMS Review Absorber Repair AIMS Review Absorber Defect AIMS Review Absorber Repair AIMS Review EUVL Defect Map Pattern Inspection Defect Map Blank Inspection Multi-Layer Defect AIMS Review feed forward Compensational Absorber Repair Compensational Pattern Placement AIMS Review AIMS Review AIMS EUV review needed for both defect types and repair strategies Number of processes requiring review increases in EUV compared to 193 increased 10.10.2011 13

Agenda 1 2 3 4 Blank and Mask Defects Repair Strategy AIMS EUV Status Summary 10.10.2011 14

AIMS EUV Project started see also Session 3, Monday, 17. Oct., Michael Goldstein Update from the Sematech EUV Mask Infrastrutcure Initiative 10.10.2011 15

Defect Review with AIMS EUV Project started in June 2011 4 industrial partners Prototype ready by August 2014 First customer tools ready by August 2014 then 1 tool every 4 months Currently project is within the design phase 10.10.2011 16

AIMS EUV Design Phase: Preliminary Layout of the AIMS EUV Vacuum Handling Air Handling Main Chamber Source Unit Media, Electrics, Climate control 10.10.2011 17

Agenda 1 2 3 4 Blank and Mask Defects Repair Strategy AIMS EUV Status Summary 10.10.2011 18

Summary Essential to find and classify all defects Current blank and mask pattern inspection methods not sufficient Joint industry effort started to close gaps AIMS EUV needed for disposition or repair verification AIMS EUV project started and on track Repair or mitigate defects Blank defect mitigation concepts existing but need to be proven in process flow Pattern defects can be repaired as for standard 193nm masks ML defects cannot be repaired as of today ML defect however can be compensated by compensational repair methods Zeiss provides critical tools and solutions for EUV mask manufacturing Mask manufacturing tools will be ready in time for HVM 10.10.2011 19

Acknowledgements Defect studies and repair Tristan Bret, Thorsten Hofmann and the Zeiss SMS team in Rossdorf AIMS EUV Sascha Perlitz and Markus Weiss and the Zeiss teams in Jena and Oberkochen Sematech and the members of the EMI Consortium for their great financial support And thanks to you for your attention! 10.10.2011 20

10.10.2011 21