March 10th. Frog, Water Strider, Gecko. Elephant vs. Ant Design & Manufacturing II. Ask Dave and Pat. Spring Quiz 1 on March 17 th MEMS I

Similar documents
2.008 Design & Manufacturing II. Spring 2005 Sang-Gook Kim. MEMS/Nano I spring-2005 S.G. Kim 1. Nano/Micro in ME

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

Today s Class. Materials for MEMS

Mikrosensorer. Microfabrication 1

Fabrication Technology, Part II

Wireless Sensor Nodes

Manufacturing Technologies for MEMS and SMART SENSORS

IC/MEMS Fabrication - Outline. Fabrication

Czochralski Crystal Growth

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Chapter 2 OVERVIEW OF MEMS

Lecture 5: Micromachining

EE 330 Lecture 9. IC Fabrication Technology Part 2

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Chapter 3 Silicon Device Fabrication Technology

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

L5: Micromachining processes 1/7 01/22/02

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Preface Preface to First Edition

EECS130 Integrated Circuit Devices

Micro-Scale Engineering I Microelectromechanical Systems (MEMS) Y. C. Lee

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Materials for MEMS. Dr. Yael Hanein. 11 March 2004 Materials Applications Yael Hanein

Lecture 7 CMOS MEMS. CMOS MEMS Processes. CMOS MEMS Processes. Why CMOS-MEMS? Agenda: CMOS MEMS: Fabrication. MEMS structures can be made

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Micromachining AMT 2505

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

MEMS and Nanotechnology

Lect. 2: Basics of Si Technology

Surface Micromachining

Chemical Vapor Deposition

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Dr. Lynn Fuller Webpage:

Chapter 3 CMOS processing technology

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Lecture #18 Fabrication OUTLINE

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Review of CMOS Processing Technology

VLSI Technology. By: Ajay Kumar Gautam

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

The Physical Structure (NMOS)

Semiconductor Manufacturing Process 10/11/2005

Mostafa Soliman, Ph.D. May 5 th 2014

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

Silicon Manufacturing

Surface micromachining and Process flow part 1

INF5490 RF MEMS. LN02: MEMS Fabrication. Spring 2012, Oddvar Søråsen Department of Informatics, UoO

INTEGRATED-CIRCUIT TECHNOLOGY

Fabrication and Layout

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Bulk Silicon Micromachining

Lecture 0: Introduction

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

MEMS Fabrication I : Process Flows and Bulk Micromachining

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

ENG/PHYS3320 Microsystems Technology Chapter 2 Fabrication of Microsystems

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

applied to Biomedical Instrumentation Microfabrication and Nanofabrication Microtechnology Nanotechnology Biomedical Lecture 9 and

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

Semiconductor Device Fabrication

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Atomic Layer Deposition(ALD)

Why Probes Look the Way They Do Concepts and Technologies of AFM Probes Manufacturing

Lecture 1A: Manufacturing& Layout

EE 434 Lecture 9. IC Fabrication Technology

PETER PAZMANY CATHOLIC UNIVERSITY Consortium members SEMMELWEIS UNIVERSITY, DIALOG CAMPUS PUBLISHER

Semiconductor device fabrication

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Lecture 22: Integrated circuit fabrication

Evolution of MEMS Technology

Surface Micromachining

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Gaetano L Episcopo. Introduction to MEMS

PHYSICAL ELECTRONICS(ECE3540) Brook Abegaz, Tennessee Technological University, Fall 2013

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

4. Process Integration: Case Studies

Lecture 3: Integrated Processes

Welcome MNT Conference 1 Albuquerque, NM - May 2010

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Nanotechnology and Public Policy

Fabrication Technology

Transcription:

P O O O O O O O O O O O O O O O O O O O O O O O S S S S S S S S Office of Basic Energy Sciences Office of Science, U.S. DOE Version 03-05-02 2.008 Design & Manufacturing II Spring 2004 MEMS I March 10th Ask Dave and Pat Petty money up to $200, Goggles Plant tour, April 21, 22, sign up! By 4/2 Quiz 1 on March 17 th HW#4 due by Monday s lecture 75 minutes (45 min) MEMS 1 today 2.008-spring-2004 S.G. Kim 1 2.008-spring-2004 S.G. Kim 2 Elephant vs. Ant Frog, Water Strider, Gecko Shock and impact Scale and form factor Load carrying capability Spider silk v.s. steel 2.008-spring-2004 S.G. Kim 3 2.008-spring-2004 S.G. Kim 4 Never try to mimic the nature. The Scale of Things -- Nanometers and More Things Natural DOE 2001 Things Manmade Dust mite 200 µm Human hair ~ 10-50 µm wide Red blood cells with white cell ~ 2-5 µm Ant ~ 5 mm Fly ash ~ 10-20 µm The Microworld The Nanoworld 10-2 m 10-3 m 10-4 m 10-5 m 10-6 m 10-7 m 1 cm 10 mm 1,000,000 nanometers = 1 millimeter (mm) 0.1 mm 100 µm 0.01 mm 10 µm 1,000 nanometers = 1 micrometer (µm) 0.1 µm 100 nm MicroElectroMechanical devices 10-100 µm wide Red blood cells Pollen grain 10-8 m 0.01 µm ~10 nm diameter 10 nm Nanotube electrode ATP synthase Ultraviolet Visible Infrared Microwave Zone plate x-ray lens Outermost ring spacing ~35 nm Head of a pin 1-2 mm Nanotube transistor 21st Century Challenge Combine nanoscale building blocks to make novel functional devices, e.g., a photosynthetic reaction center with integral semiconductor storage 2.008-spring-2004 S.G. Kim e.g. Biomimetic researches. 5 http://robotics.eecs.berkeley.edu/~ronf /GECKO/Figures/Hierarchy3.jpg DNA ~2-1/2 nm diameter Atoms of silicon spacing ~tenths of nm 10-9 m 10-10 m Soft x-ray 1 nanometer (nm) 0.1 nm Quantum corral of 48 iron atoms on copper surface positioned one at a time with an STM tip Corral diameter 14 nm Carbon nanotube ~2 nm diameter 1

Transition: Micro to Nano 20 th Century - Microelectronics and Information Technology Semiconductors, computers, and telecommunication 21 st Century - Limits of Microsystems Technology --- Nanotechnology Moore s law Hard disc drive Moore s Law The number of transistors per chip doubles every 18 months. Moore s Law _ Rock s Law Year of introduction Transistors 4004 1971 2,250 8008 1972 2,500 8080 1974 5,000 8086 1978 29,000 286 1982 120,000 386 1985 275,000 486 DX 1989 1,180,000 Pentium 1993 3,100,000 Pentium II 1997 7,500,000 Pentium III 1999 24,000,000 Pentium 4 2000 42,000,000 John Bardeen, Walter Brattain, and William Shockley at Bell Laboratories, First Transistor 2.008-spring-2004 S.G. Kim 7 2.008-spring-2004 S.G. Kim 8 Microelectronics Technology Aerial density, hard disk To meet the Moore s Law, line width(1/2 pitch) requirement 100 nm 2005 70 nm 2008 50 nm 2011 No solution yet, nanolithography? 35 nm 2014 The International Technology Roadmap for Semiconductors, 1999 2.008-spring-2004 S.G. Kim 9 G-line:436nm I-line: 365nm DUV: 248 nm 193 nm EUV Superparamagnetic Effect a point where the data bearing particles are so small that random atomic level vibrations present in all materials at room temperature can cause the bits to spontaneously flip their magnetic orientation, effectively erasing the recorded data. 2.008-spring-2004 S.G. Kim 10 What is Nanotechnology? Nano in ME Nanomanufacturing? A DNA molecule is 2.5 nm wide. Fluidics, heat transfer and energy conversion at the micro- and nanoscale Bio-micro-electromechanical systems (bio-mems) Optical-micro-electromechanical systems (optical- MEMS) Engineered nanomaterials Nano manufacturing Course 2A (Nanotrack) 2.008-spring-2004 S.G. Kim 11 2.008-spring-2004 S.G. Kim 12 2

http://www.memsnet.org/mems/what-is.html MEMSMEMS MEMSTechnologies Optical MEMS RF MEMS Data Storage Bio. MEMS Power MEMS MEMS for Consumer Electronics MEMS In Space MEMS for Nano. Materials Processes Systems MEMS (Microelectromechanical Systems) Intergrated systems of sensing, actuation, communication, control,power, and computing Tiny, Cheaper, Less power New functions!!! (chemical, bio, µ- fluidic, optical, ) 2.008-spring-2004 S.G. Kim 13 Courtesy: Sandia national laboratory 2.008-spring-2004 S.G. Kim 14 Tiny Products DLP (Digital Micromirror TM Array) Tiny Products Airbag sensors: Mechanical vs. MEMS DLP 10 6 micromirrors, each 16µm 2, ±10 tilt (Hornbeck, Texas Instruments DMD, 1990) 2.008-spring-2004 S.G. Kim 15 2.008-spring-2004 S.G. Kim 16 Analog Devices Tiny Products Airbag sensors: Mechanical vs. MEMS DLP (Digital Micromirror Array) DNA chip Optical MEMS Tiny Tech venture funding, 2002 (Courtesy of Segway (r) Human Transporter (HT). Used with permission.) Segway -Tilt -Rotation Smalltimes, Vol.2, no. 6, 2002 2.008-spring-2004 S.G. Kim 17 2.008-spring-2004 S.G. Kim 18 D. Kamen 3

Vibrating Gyroscope z Coriolis Acceleration y x Electrostatic Comb Drive/sensing Paralle Plate Capacitor Capacitance=Q/V=ε A/d ε Dielectric permittivity of air Electrostatic Force = ½ ε (A/d 2 ).V 2 Pull-in point: 2/3 d d K By Charles Stark Draper Laboratory 2.008-spring-2004 S.G. Kim 19 2.008-spring-2004 S.G. Kim 20 Comb Drive C= ε A/d = 2n ε l h/d C = 2n ε lh/d Electrostatic force F el = ½ dc/dx V 2 = n ε h/d V 2 Suspension mode failures x l l V y d x x 2.008-spring-2004 S.G. Kim 21 2.008-spring-2004 S.G. Kim 22 Comb Drive Designs Capacitive Accelerometer linear rotational capacitive sensor AC Signal DC Bias plate mass meander spring AC Signal (180 phase shift) Grating beams Flexures Electrostatic comb-drives 2.008-spring-2004 S.G. Kim 23 2.008-spring-2004 S.G. Kim 24 4

Microfabrication process flow Single-mask process IC compatible SOI (Silicon on insulator) 1) Begin with a bonded SOI wafer. Grow and etch a thin thermal oxide layer to act as a mask for the silicon etch. oxide mask layer Si device layer, 20 µm thick buried oxide layer Si handle wafer Negligible residual stress Thermal budget 2) Etch the silicon device layer to expose the buried oxide layer. silicon Thermal oxide Not yet packaged 3) Etch the buried oxide layer in buffered HF to release free-standing structures. 2.008-spring-2004 S.G. Kim 25 2.008-spring-2004 S.G. Kim 26 Problems of fabrication Surface micromachined Structure 2 µm DRIE micromachined Structure 10 µm DRIE micromachined Structure 10 µm ADXL 50 accelerometer Capacitive sensing Comb drive Vertical stiction 300 µm Lateral stiction No stiction 2.008-spring-2004 S.G. Kim 27 G. Barbastathis & S. Kim 2.008-spring-2004 S.G. Kim 28 Process Flow Wafers Photo resist coating Pattern transfer Photo resist removal Devices Deposition Lithography Etch Micromachining processes Bulk micromachining Surface micromachining Bonding LIGA x-ray lithography, electrodeposition and molding Oxidation Sputtering Evaporation CVD Sol-gel Epitaxy 2.008-spring-2004 S.G. Kim 29 Wet isotropic Wet anisotropic Plasma RIE DRIE 2.008-spring-2004 S.G. Kim 30 5

LIGA process Bulk, Surface, DRIE Bulk micromachining involves removal of the silicon wafer itself Typically wet etched Inexpensive equipments IC compatibility is not good. Surface micromachining leaves the wafer untouched, but adds/removes additional thin film layers above the wafer surface. Typically dry etched Expensive equipments IC compatibility, conditionally. 2.008-spring-2004 S.G. Kim 31 2.008-spring-2004 S.G. Kim 32 Materials Metals Al, Au, ITO, W, Ni, Ti, TiNi, Insulators SiO 2 - thermally grown above 800 o C or vapor deposited (CVD), sputtered. Large intrinsic stress Si x N y insulator, barrier for ion diffusion, high E, stress controllable Polymers: PR, SU-8, PDMS Glass, quartz Silicon stronger than steel, lighter than aluminum single crystal, polycrystalline, or amorphous Silicon Atomic mass average: 28.0855 Boiling point: 2628K Coefficient of linear thermal expansion: 4.2. 10-6 / C Density: 2.33g/cc Young s modulus: 47 GPa Hardness scale: Mohs 6.5 Melting point: 1683K Specific heat: 0.71 J/gK Electronic grade silicon 99.99999999% purity 2.008-spring-2004 S.G. Kim 33 2.008-spring-2004 S.G. Kim 34 Materials Single crystal silicon Anisotropic crystal Semiconductor, great heat conductor Polycrystalline silicon polysilicon Mostly isotropic material Semiconductor Semiconductor Electrical conductivity varies over ~8 orders of magnitude depending on impurity concentration (from ppb to ~1%) N-type and P-type dopants both give linear conduction. Two different types of doping Electrons (negative, N-type) --phosphorus Holes (positive, P-type) --boron Silicon Ingot Czochralski (CZ) method Float Zone (FZ) method. http://www.msil.ab.psiweb.com/english/msilhist4-e.html 1 to 12 diameter 2.008-spring-2004 S.G. Kim 35 2.008-spring-2004 S.G. Kim 36 6

Miller indices, plane [001] (abc) 1/c [010] 1/b 1/a [100] c a [abc] b 2.008-spring-2004 S.G. Kim 37 2.008-spring-2004 S.G. Kim 38 Crystallographic planes {100} [001] (001) Miller indices [abc] in a cubic crystal is just a directional vector (abc) is any plane perpendicular to the [abc] vector ( )/[ ] indicate a specific plane/direction { }/< > indicate equivalent set of planes/directions [001] [abc] [100] (100) [010] (010) [100] [010] b c a 2.008-spring-2004 S.G. Kim 39 2.008-spring-2004 S.G. Kim 40 Wafers of different cuts Crystallographic planes [001] [010] [100] (110) (111) (001) 54.74 o 2.008-spring-2004 S.G. Kim 41 2.008-spring-2004 S.G. Kim 42 7

Etching Wet Dry Isotropic silicon etchants HNA ( poly-etch ) -wet Mix of HF, nitric acid (HNO 3 ), and acetic acids (CH 3 COOH) Difficult to control etch depth and surface uiformity XeF 2 -dry Anisotropic wet etching Many liquid etchants demonstrate dramatic etch rate differences in different crystal directions <111> etch rate is slowest, <100> fastest Fastest: slowest can be more than 100:1 KOH, EDP, TMAH most common anisotropic silicon etchants Potasium Hydroxide (KOH), Tetramethyl Ammonium Hydroxide (TMAH), and Ethylene Diamine Pyrochatecol (EDP) gas phase, etches silicon, polysilicon Does not attack SiO2, SiNx,metals, PR 2.008-spring-2004 S.G. Kim 43 2.008-spring-2004 S.G. Kim 44 KOH Etching Etches PR and Aluminum instantly (100) to (111) 100 to 1 etch rate V-grooves, trenches Concave stop, convex undercut CMOS incompatible Masks: SiO 2 : for short period Anisotropic wet etching When a (100) wafer with mask features Oriented to <110> direction is placed in an anisotropic etchant. Si x N y : Excellent heavily doped P ++ silicon: etch stop <111> <100> a 0.707a A square <110> oriented mask feature results in a pyramidal pit. 54.7 Silicon Substrate 2.008-spring-2004 S.G. Kim 45 2.008-spring-2004 S.G. Kim 46 Anisotropic wet etching When a (100) wafer with mask features Oriented to <110> direction is placed in an anisotropic etchant. A square <110> oriented mask feature results in a pyramidal pit. Dry etching sticktion RIE (reactive ion etching) Chemical & physical etching by RF excited reactive ions Bombardment of accelerated ions, anisotropic SF6 Si, CHF3 oxide and polymers Anisotropy, selectivity, etch rate, surface roughness by gas concentration, pressure, RF power, temperature control Plasma etching Purely chemical etching by reactive ions, isotropic Vapor phase etching Use of reactive gases, XeF2 No drying needed 2.008-spring-2004 S.G. Kim 47 2.008-spring-2004 S.G. Kim 48 8

DRIE (Deep RIE) Alternating RIE and polymer deposition process for side wall protection and removal Etching phase: SF6 /Ar Polymerization process: CHF3/Ar forms Teflon-like layer Invented by Bosch, process patent, 1994 Scalloping and Footing issues of DRIE Top wafer surface cathode Top wafer surface anode Scalloped sidewall Tip precursors -1.5 to 4 µm/min -selectivity to PR 100 to 1 <100 nm silicon nanowire over >10 micron gap 1 µm 2.008-spring-2004 S.G. Kim 49 2.008-spring-2004 S.G. Kim Milanovic et al, IEEE TED, Jan. 50 2001. Footing at the bottom of device layer Deep Reactive Ion Etch STS, Alcatel, Trion, Oxford Instruments Most wanted by many MEMS students High aspect ratio 1:30 Easily masked (PR, SiO2) 2.008-spring-2004 S.G. Kim 51 9