Low temperature deposition of thin passivation layers by plasma ALD

Similar documents
ALD systems and SENTECH Instruments GmbH

Activities in Plasma Process Technology at SENTECH Instruments GmbH, Berlin. Dr. Frank Schmidt

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

In-situ Monitoring of Atomic Layer Deposition Processes

CORIAL D500. Large capacity batch system for 24/7 production environment

Atomic Layer Deposition (ALD)

Corial D500 No mechanical cleaning

Corial PS200 4-sided multi-module platform

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

Atomic Layer Deposition

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Photovoltaics & Solar Thermals. Thin-film equipment. Customized. FHR Anlagenbau GmbH I

PlasmaPro TM System100 & System133. Modular tools for wafer processing100

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

Atomic Layer Deposition of Novel High Dielectric Constant Materials

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

Lecture Day 2 Deposition

Instructor: Dr. M. Razaghi. Silicon Oxidation

Growth of Y 2 O 3 and HfO 2 as Single Compounds and as Nanolaminates on Si using Atomic Layer Deposition Adam Kueltzo

FIBRE-COUPLED HIGH-INDEX PECVD SILICON- OXYNITRIDE WAVEGUIDES ON SILICON

Manipulation and control of spatial ALD layers for flexible devices. Aimcal Memphis 2016; Edward Clerkx

Chapter 3 Silicon Device Fabrication Technology

WŝŽŶĞĞƌŝŶŐ > ĞdžƉĞƌŝĞŶĐĞ ƐŝŶĐĞ ϭϵϳϰ WŝĐŽƐƵŶ ^he > Ρ WͲƐĞƌŝĞƐ > ƐLJƐƚĞŵƐ ƌŝěőŝŷő ƚśğ ŐĂƉ ďğƚǁğğŷ ƌğɛğăƌđś ĂŶĚ ƉƌŽĚƵĐƟŽŶ d, &hdhz K& d,/e &/>D /^, Z

New Materials as an enabler for Advanced Chip Manufacturing

High-end solutions for high-tech industries. Dr. Sebastian Gatz, Klaus Ruhmer

Roll-to-roll ALD prototype for 500 mm wide webs

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

New Materials and Processes for Advanced Chip Manufacturing

Ultra High Barrier Coatings by PECVD

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

Device Fabrication: CVD and Dielectric Thin Film

200mm Next Generation MEMS Technology update. Florent Ducrot

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Photovoltaics. Thin film equipment from Oxford Instruments. The Business of Science

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Si DRIE APPLICATION In Corial 210IL

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Copper Interconnect Technology

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Chapter 5 Thermal Processes

TECHNICAL SPECIFICATIONS

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Make sure the exam paper has 9 pages total (including cover page)

Non-contractual document, specifications subject to change without notice.

Novel Reactor Design and Metrology Study for Tungsten ALD process Laurent Henn-Lecordier, Wei Lei, Gary W. Rubloff

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

ise J. A. Woollam Ellipsometry Solutions

Roll-to-Roll ALD Deposition of Al 2 O 3 Barrier Layers on PET

FABRICATION OF GaAs DEVICES

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Uniformity and passivation research of Al 2 O 3 film on silicon substrate prepared by plasma-enhanced atom layer deposition

Chapter 7 Polysilicon and Dielectric Film Deposition

Research Article Silicon Nitride Film by Inline PECVD for Black Silicon Solar Cells

Via etching in BCB for HBT technology

Examples of dry etching and plasma deposition at Glasgow University

GeTe films for PCRam elaborate by pulsed injection MOCVD and PEMOCVD for phase change memory

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

Gas and surface applications of atmospheric pressure plasmas

Future Thin and Flexible Systems?

ATOMIC LAYER DEPOSITION OF 2D TRANSITION METAL DICHALOGENIDES

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY

Deposition of AlOx/SiN stack and SiN for high efficient bifacial PERC solar cells using only one deposition system MAiA 3in1

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Review of CMOS Processing Technology

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

OverVizGlow. Spark EM Flow Grain Multiphysics Simulation Suite. VizGlow CCP Simulation. VizGlow ICP Simulation

ALD Atomic Layer Deposition

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

EE 143 CMOS Process Flow

Institute of Solid State Physics. Technische Universität Graz. Deposition. Franssila: Chapters 5 & 6. Peter Hadley

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

Oxide Growth. 1. Introduction

Power Vision Ltd. PV Research. Power Vision Ltd. Unit R2, Herald Park, Crewe, Cheshire, CW1 6EA, UK Tel:

micro resist technology

Atomic Layer Deposition

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Amorphous and Polycrystalline Thin-Film Transistors

Atomic Layer Deposition(ALD)

About Cambridge NanoTech Atomic Layer Deposition (ALD) Selected Applications Manufacturing Considerations ALD Reactors Summary

IC Fabrication Technology Part III Devices in Semiconductor Processes

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

INTEGRATED-CIRCUIT TECHNOLOGY

Research Article RF Magnetron Sputtering Aluminum Oxide Film for Surface Passivation on Crystalline Silicon Wafers

High Barrier Multi-Layer Parylene Coating

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

2. High Efficiency Crystalline Si Solar Cells

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

is kept at a minimum with low power densities of less than 50 mw/cm 2.

Chemical Vapour Deposition: CVD Reference: Jaeger Chapter 6 & Ruska: Chapter 8 CVD - Chemical Vapour Deposition React chemicals to create a thin film

Ageing Resistance (12 years) of Hard and Oxidation Resistant SiBCN Coatings

PHS6317 NANO-ENGINEERING OF THIN FILMS

ET3034TUx High efficiency concepts of c- Si wafer based solar cells

Transcription:

1 Low temperature deposition of thin passivation layers by plasma ALD Bernd Gruska, SENTECH Instruments GmbH, Germany 1. SENTECH in brief 2. Low temperature deposition processes 3. SENTECH SI ALD LL System 4. Properties of ALD Al 2 O 3 films 5. Summary

2 SENTECH in brief Private company founded in 1990 Located in Berlin, Germany New building since 2010 60 employees ISO 9001 certificated Business fields Thin Film Metrology Metrology for PV Plasma Process Technology Atomic Layer Deposition

3 SENTECH in brief Located in Berlin-Adlershof, Germany s largest science and technology park WISTA-MG www.adlershof.de

SENTECH in brief Application experts at SENTECH Processing of customer samples Device demonstrations User training Application fields: R & D Quality control Photovoltaic Low damage etching Low temperature deposition Nano patterning Nano films 3D deposition www.sentech.de 4

5 Plasma Process Technology Plasma ALD Plasma deposition Cluster tool RIE, ICP-RIE PECVD, ICPECVD Cluster tools ALD / PEALD SENTECH laser end point monitor Endpoint monitor Plasma etching

6 Spectroscopic ellipsometers SENresearch for R&D and quality control Wide spectral range Highly flexible SE 850 DUV SENDURO 200/300 SENDIRA

Low temperature deposition processes Applications of low temperature deposition processes Passivation of organic materials (OLEDs) (T D 80 C) Isolation of interconnects on small gap compound semiconductors (T D 100-200 C) Passivation of pn-structures (mesas) of compound semiconductors (T D 200 C) www.sentech.de 7

Low temperature deposition processes Deposition methods Inductively coupled plasma enhanced chemical deposition (ICPECVD) Plasma enhanced atomic layer deposition (PEALD) www.sentech.de 8

Low temperature deposition processes ICPECVD SI 500 D ICP planar plasma source RT-400 C Gasses: SiH4, O2, NH3, N2, H2, CH4 Films :SiO2, SiNx, SiOxNy, a-si, SiC Growth rate: 30-50 nm/min Conformality:~0.7:1 Low ion energy, <10 ev www.sentech.de 9

Capacitance [F] compressiv - (10 8 Pa) - tensil Main properties of ICPECVD films 3 2 1 T = 130 C, n = 1.98-2.0 14 12 ICPECVD PECVD 0-1 Si-H Absorption /cm -1 10-2 -3 P ICP, flow 8-4 -5-6 NH 3 flow 6 4-7 -8 2-9 -10 2 4 6 8 10 12 14 16 0 50 100 150 200 250 300 350 p ( Pa ) Deposition temperature / C f=1mhz QSCV HFCV and QSCV ICPJ6 2.2E-10 2.1E-10 2E-10 1.9E-10 1.8E-10 1.7E-10 1.6E-10 1.5E-10 1.4E-10 1.3E-10 1.2E-10-10 -6-2 2 6 10 Bias Voltage [V] MOS barrier ICPECVD: SiO x, T= 260 C n B = 1.469 N ss = 0.2*10 11 cm -2 ev -1 E B = 8.6 MV/cm r = 1.1*10 18 Ohm cm www.sentech.de 10

11 Low temperature deposition processes Atomic Layer Deposition Complete control of deposition process in a nanometer scale Conformal coatings into high aspect ratio structures Pin-hole and particle free depositions Low temperature deposition by plasma enhanced ALD PEALD removes water as precursor Wide range of chemistry for film deposition

12 Atomic Layer Deposition of Al 2 O 3 ALD cycle using TMA and water vapor: 1) TMA chemisorption 2) Purging step 3) Water chemisorption 4) Purging step Applications: ALD Al 2 O 3 films have been investigated in different applications, such as: - Charge-recombination layers in solar cells [1] - Diffusion barriers in organic electronics [2] - Gate dielectrics in transistors [3, 4] - Passivation layers in Li-Ion batteries [5]

SENTECH SI ALD LL System Specifications Substrate size up to 8 Substrate temperature 400 (up to 600 C optional) Reactor wall temperature 150 C (optional 200 C) Precursor lines up to 4 separate inlets direct draw or bubble line 200 C heated heater jacket for container Gas lines up to 7 Plasma source true remote CCP source (option) In-situ diagnostic SENTECH ellipsometer QMS, QMC Options TMP with isolation valve Ozone line, Glove box Clusterable to other process modules www.sentech.de 13

14 SENTECH SI ALD LL System Systeme software - User-friendly, based on SENTECH plasma system software - Special tool for operating ALD-pulses - Reliable remote field controller (RFC) - Manual and automatic operation

15 SENTECH SI ALD LL System In-situ analysis Optional available: - Ellipsometry (laser, spectroscopic) - Mass Spectrometer (QMS) - Quartz Crystal Microbalance (QCM) Applications: Growth Satturation Resistivity Reation mechanismus Chamber conditions Precursor behavior LE, SE, QMC LE, SE, QMC SE QMC, QMS QMS QMS

16 SENTECH SI ALD LL System In-situ analysis by laser ellipsometry 25 / / 20 15 180 180 165 d = 0 nm calculated transients for n=1.45-1.85 measured transient / Al 2 O 3 thickness / nm 135 40 20 0 thickness / nm growth start 1000 2000 3000 4000 time / s / 150 135 120 105 90 d = 40 nm d Al2O3 = 0... 40 nm n Al2O3 = 1.64 = 65 T = 200 C n=1.55 n=1.65 n=1.45 75 n=1.85 n=1.75 60 15 20 25 30 35 40 45 /

17 SENTECH SI ALD LL System Key features of SI PEALD LL Flexible system architecture A wide range of processes and applications Thermal and plasma enhanced processing Plasma source can be separated from the system True remote plasma source Substrate outside of the plasma generation region In-situ diagnostic capability SENTECH ellipsometers, QMS Rugged design and small footprint System can be connected to cluster tool L x W x H: 1800 x 680 x 1840 mm²

18 Properties of ALD Al 2 O 3 films Thermal ALD on 8" Wafer, thickness and R.I uniformity Layer thickness Refractive index TMA + H 2 O: Layer thickness: 8" Wafer 49,9nm (± 1,2%) Sigma 0,283nm Growth rate 0,8 Å/cycle Substrate temperature 200 C n (@632,8 nm) 1,639 (± 0,15%) Cycle time 2 s

19 Properties of ALD Al 2 O 3 films Plasma enhanced ALD on 8" Wafer, thickness and R.I. uniformity Layer thickness Refractive index TMA + O 2 -Plasma: Layer thickness: 8" Wafer 26,8nm (± 1,6%) Sigma 0,232nm Growth rate 1,1 Å/cycle Substrate temperature 200 C n (@632,8 nm) 1,642 (± 0,58%) Cycle time 10,5 s

20 Properties of ALD Al 2 O 3 films Linearity of growth - Al 2 O 3 film thickness shows linear dependence on the number of cycles in ALD and PEALD. - PEALD provides a higher growth rate of 1.2 Å/cycle compared to ALD. The growth rate in ALD amounts 0.8 Å/cycle. Process parameter ALD PEALD Substrate temperature ( C) 200 200 Process pressure (Pa) 12 20 Plasma power (W) 0 100 Growth rate (Å/Cycle) 0.8 1.2 Cycle time (s) 4 8

21 Properties of ALD Al 2 O 3 films Conformality 18 nm ALD-Al 2 O 3 @ 80 C 21 nm PEALD-Al 2 O 3 @ 80 C 1) 3D resist structures were prepared on Si-Wafers* and coated with ALD and PEALD Al 2 O 3 at 80 C substrate temperature. 2) Prepared samples were treated with O 2 -plasma to remove resist and examined with REM*. Very good conformality to 3D structures *) Thanks to Dr. Hübner, IPHT-Jena, Germany, for preparing the 3D structures and doing the REM-measurement.

Depositionsrate [Å/cycle] www.sentech.de Refractive index n 22 Properties of ALD Al 2 O 3 films Low temperature deposition of Al 2 O 3 by PEALD 2.0 1.8 PEALD Al 2 O 3 PEALD Al 2 O 3 1.6 ALD Al 2 O 3 1.7 ALD Al 2 O 3 1.2 1.6 0.8 1.5 50 100 150 200 Substrate temperature [ C] 1.4 0 50 100 150 200 Substrate temperature [ C]

C/Al [a.u.] www.sentech.de Absorption index 23 Properties of ALD Al 2 O 3 films Chemical information 0.25 0.20 XPS Al-O 200 C IR spectroscopy IR ellipsometer SENDIRA 0.15 80 C 0.10 27 C 0.05 0.00 0 25 50 75 100 125 150 175 200 225 Substrate temperature [ C] Impurities, methyl groups Wavenumber / cm -1

24 Summary - Outstanding features of SI PEALD-systems: Flexible system configuration for a wide range of processes and applications True remote plasma CCP source In-situ control by ellipsometry Rugged design and small footprint - Process results: Thermal and plasma enhanced deposition: very good uniformity Thermal and plasma enhanced deposition: very good conformality Incorporation of methyl groups at low temperature depositions Outlook Process optimization based on IR ellipsometry, in-situ laser ellipsometry

25 Thank you for your attention Visit us at booth of Energo Avangard SENTECH Instruments GmbH (Berlin)