CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Similar documents
SLURRY FORMULATION OPTIONS

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Evolution and Revolution of Cerium Oxide Slurries in CMP

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

1.1 Background Cu Dual Damascene Process and Cu-CMP

4th Annual SFR Workshop, Nov. 14, 2001

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Post-CMP Cleaning: Interaction between Particles and Surfaces

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

CMP Defects and Evolution of PCMP Cleans

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

5/3/2010. CMP UG

Evaluation of Copper CMP Process Characterization Wafers

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

Integration Issues with Cu CMP

CMP challenges in sub-14nm FinFET and RMG technologies

CMP Scratches; Their Detection and Analysis on Root Causes

Introducing Advanced PCMP Cleaning Solutions

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

2009 Market Slurries and Particles in CMP & a Bit Beyond

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Impacts of Back Grind Damage on Si Wafer Thinning for 3D Integration

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

Cu/low κ. Voids, Pits, and Copper

Haiyan Li 1*, Akira Endou 2, Annette Schaper 1, Todd Eck 1, Toshio Shinoda 2, Anne Miller 1*

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Notable Trends in CMP: Past, Present and Future

NCAVS CMPUG Meeting July 16, 2009

CONTROLLED COBALT RECESS FOR ADVANCED INTERCONNECT METALLIZATION.

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Effect of temperature on copper chemical mechanical planarization

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

CMP Solutions for the Integration of High-K Metal Gate Technologies

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Linx Consulting, Inc.

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Understanding and Reducing Copper Defects

The History & Future of

CMP COST ISSUES & IMPACT ON CONSUMABLES FOR MEMORY AND LOGIC

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

Advanced STI CMP Solutions for New Device Technologies

Hardware and Process Solutions to Evolving CMP Needs. - or - CMP Challenges How Can We Polish THAT?

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Novel Slurry Injection System for Reduced Slurry Usage and Enhanced CMP Performance. Ara Philipossian [Araca Incorporated, Tucson AZ USA]

2006 UPDATE METROLOGY

Czochralski Crystal Growth

Particle Characterization of Abrasives

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

New Insights into the Tribological and Kinetic Attributes of Retaining Rings in CMP

Cost of Integrated Circuits

Susceptibility of Different Slurry Types to Agglomeration

Investigation of New Maglev Day-Tank Technology for Effective Slurry Dispersion and Distribution

Linx Consulting Inc. CMP TECHNOLOGIES and MARKETS to the 5 nm NODE. See Beyond the Horizon. Eighth Edition

Post CMP Defects; Their Origin and Removal

CMP for Thru-Silicon Vias TSV Overview & Examples March 2009

Using Mass Metrology for Process Monitoring and Control During 3D Stacking of IC s

Pad Conditioners for FEOL Tungsten Plug and Metal Gate Applications. 3M TM Trizact TM. March 19, 2014

Copper Interconnect Technology

Process Development and Process Integration of Semiconductor Devices

5. Packaging Technologies Trends

INTEGRATED-CIRCUIT TECHNOLOGY

Motorola MC68360EM25VC Communication Controller

Reduced Cost of Ownership Oxide CMP Process using 300mm Consumables for 200mm processing

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

Chemical Mechanical Planarization

Next Gen Packaging & Integration Panel

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

A World Class Specialty Materials Company

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Advanced Metrology for Copper/Low-k Interconnects

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

JULY Challenges in Cleaning Tungsten and Cobalt for Advanced Node Post CMP and Post Etch Residue Removal Applications

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Key Technologies for Next Generation EUV Lithography

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Pattern Dependent Satellite Defects in Via Lithography

All-wet stripping process for highly implanted photoresist

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

EE C245 ME C218 Introduction to MEMS Design

IC Fabrication Technology Part III Devices in Semiconductor Processes

Alternatives to Aluminium Metallization

Oxalic Acid Based Chemical Systems for Electrochemical Mechanical Planarization of Copper

W Metallization in a 3-D Memory

ECE321 Electronics I

MECetchBOND CZ. Copper Surface Treatment System for Advanced PWBs. MEC COMPANY LTD. MECetchBOND 1

A Production-Proven Shallow Trench Isolation (STI) Solution Using Novel CMP Concepts*

Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

Metallization of MID Dec 2 010

Towards cost-effective and low defectivity DSA flows for line/space patterning

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

200mm Next Generation MEMS Technology update. Florent Ducrot

Transcription:

Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing CMP Users Meeting April 12 th 2013 D.JEANJEAN Damien JEANJEAN Olivier ROBIN Sebastien GAILLARD David GALPIN Grégoire DUCOTEY Frédérique TRENTESEAUX Olivier HINSINGER STMicroelectronics, Crolles France

Outline 2 Motivation of the study introduction Chemistry introduction results Defectivity, D0 electrical data Discussion on defects removing mechanism Conclusions

Context : IC manufacturing 120 nm 90 nm 65 nm 45 nm 32/28 nm IC evolution is driven by Cost reduction Complexity Performances Miniaturization Dimension reductions induce new technological challenges Introduction of new interconnect materials have driven the development of new CMP and post- CMP cleaning applications. 3

Context : CMP related defects Among CMP defectivity catalogue Slurry balls are potentially serious killer defects Why? Silica balls used as abrasive in commercially available slurries Ø from 25nm to 120 nm with different solid content % Size to be compared to the lines width of latest technologies nodes ITRS Roadmap 45 nm node M1/MX pitch 130/140nm 32nm node M1/Mx pitch 90/100nm 22 nm node M1/Mx pitch 60/70nm Silica balls can be left post CMP process: how it impacts the lots processing? 4

Slurry balls defect density Motivation of the buffing step introduction 5 In line defects characterization Defectivity review done post CMP Cu line 1 & post hard mask etch line 2 Silica slurry residues let by CMP generate topography on subsequent dielectrics inducing mispatterning CMP Cu Line1 Hard mask etch line 2 TEM X-section Trend Slurry balls at HML2 With excursions Defects could generate shorts and metals opens cleaning solution and benchmark with other chemistry in brushes not enough efficient Need to adress this defectivty Platen 3 buffing Step introduction

Experiments description AMAT Reflexion LK Diel Cu Platen 3: Barrier CMP Cleaner Low pressure Platen 3 buffing DIW is compared to alcalin chemistry dispense + acidic chemistry in cleaner is kept The experiment sequence is Over rinse in brush box1 beginning Chemistries Slurry Cleaner ph Alkaline Alkaline Acidic

Slurry balls defects count A.U Slurry balls defect count AU Corrosion defect count AU Major defectivity results on lots 7 Post CMP def inspection Defectivity trend after buffing step introduction Huge reduction of corrosion defect type No change on other defects types Buffing step Post etch hard mask line2 def inspection A B Balls are form previous level embedded Buffing step A) Split lot analysis Slurry balls defects density / 10 vs B) Defectivity trend after buff introduction Gain confirmed

D0 Opens M2 D0 Short M2 Majors Yield D0 electric results 8 M2 Shorts vs M1 split Metal 2 Shorts: Split lot analysis allows to reduce Metal shorts vs M2 shorts vs M1 split D0 (a.u.) x -38% M2 Opens vs M1 split Metal 2 Opens: Split lot analysis allows to reduce Metal Opens vs M2 opens vs M1 split D0 (a.u.) x -23%

Discussion - Slurry particles removal in 2 steps 9 Silica particles deposit Post CMP process, 2 cases: Embedded in Cu-BTA positively charged Free balls on both surfaces Dielectric Cu Ta Stage 1: silica particles removing : P3 buffing with alkaline chemistry Allowing strong surface repulsion from dieletrics Due to strong negative Zeta potential P3 Alcalin Chemistry Dielectrics Silica balls Zeta Potential values ~ -80mV ~ -55mV Weaker effect on Cu-BTA removing Dielectric Cu Ta Stage 2: silica particles removing : brush cleaning with acidic chemistry Allowing to remove faster Cu-BTA residues And the Embedded silica particles Avoid redeposition Weaker effect on free slurry balls Dielectric Cu Ta

New CMP buffing chemistry has been introduced on platen 3 Summary 10 The chemistry sequence is then alcalin chemistry on p3 following by acidic chemistry in cleaner Allowing the removing of free slurry balls let on wafers and clusters balls embedded in Cu-BTA residues A longer life brush can be expected A reduction of defects type as corrosion and slurry balls has been mesured on lots monitoring the line Opens and Shorts D0 level reduction has been measured. Buffing P3 impact and results is slurry dependant: Next: Solid abrasive size and BTA content to be carefull in the choice of next technology nodes slurries

Thanks for your attention 11 Presentation Title