Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Similar documents
2017 International Conference on Semiconductor Technology for Ultra Large Scale Integrated Circuits and Thin Film Transistors (ULSIC vs.

High Purity and High Mobility Semiconductors 15

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

High Purity and High Mobility Semiconductors 14

2-1 Introduction The demand for high-density, low-cost, low-power consumption,

Alternate Channel Materials for High Mobility CMOS

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Lecture 22: Integrated circuit fabrication

CMOS Processing Technology

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

CMOS Processing Technology

Chapter 2 Manufacturing Process

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Lect. 2: Basics of Si Technology

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Hei Wong.

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

Materials Characterization

Instructor: Dr. M. Razaghi. Silicon Oxidation

Doping and Oxidation

Review Literature for Mosfet Devices Using High- K

Chapter 3 Silicon Device Fabrication Technology

Complementary Metal Oxide Semiconductor (CMOS)

Making III-V contact with silicon substrates

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Czochralski Crystal Growth

Chapter 3. In this chapter, we use sol-gel method to combine three high-k precursors, i.e. HfCl 4, ZrCl 4 and SiCl 4 together to form hafnium silicate

Silicon-on-insulator (SOI) was developed in the

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Amorphous and Polycrystalline Thin-Film Transistors

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

EE 330 Lecture 9. IC Fabrication Technology Part 2

Performance Predictions for Scaled Process-induced Strained-Si CMOS

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

MOS Front-End. Field effect transistor

Microelectronics Devices

A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Silicon Wafer Processing PAKAGING AND TEST

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

9/4/2008 GMU, ECE 680 Physical VLSI Design

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Isolation Technology. Dr. Lynn Fuller

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

Summary and Scope for further study

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Chapter 3 CMOS processing technology

Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Review of CMOS Processing Technology

Lecture 1. Introduction to Microelectronic Technologies: The importance of multidisciplinary understanding. Reading: Chapters 1 and parts of 2

EE143 Fall 2016 Microfabrication Technologies. Lecture 9: Metallization Reading: Jaeger Chapter 7

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

STUDY ON HIGH MOBILITY CHANNEL. TRANSISTORS FOR FUTURE SUB-10 nm CMOS TECHNOLOGY

EE 434 Lecture 9. IC Fabrication Technology

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 04, 2012 Lecture 01

FABRICATION of MOSFETs

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Chapter 2 MOS Fabrication Technology

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Atomic Layer Deposition. ALD process solutions using FlexAL and OpAL

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Strained Silicon-On-Insulator Fabrication and Characterization

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

ECE321 Electronics I

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Atomic Layer Deposition (ALD)

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

EE 5611 Introduction to Microelectronic Technologies Fall Tuesday, September 02, 2014 Lecture 01

Lecture 200 BiCMOS Technology (12/12/01) Page 200-1

Examples of dry etching and plasma deposition at Glasgow University

EECS130 Integrated Circuit Devices

MOS Gate Dielectrics. Outline

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

Hafnium -based gate dielectrics for high performance logic CMOS applications

Radiation Tolerant Isolation Technology

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties

行政院國家科學委員會補助專題研究計畫成果報告

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Reducing Contact Resistance Between Ni-InGaAs and n-in 0.53 Ga 0.47 As using Sn Interlayer in n-in 0.53 Ga 0.47 As MOSFETs

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Transcription:

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Editors: E. P. Gusev Qualcomm MEMS Technologies San Jose, California, USA D-L. Kwong Institute of Microelectronics Singapore F. Roozeboom Eindhoven University of Technology and TNO Science and Industry Eindhoven, The Netherlands V. Narayanan IBM TJ Watson Research Center Yorktown Heights, New York, USA H. Iwai Tokyo Institute of Technology Yokohama, Japan M. C. Ozturk North Carolina State University Raleigh, North Carolina, USA P. J. Timans Mattson Technology Fremont, California, USA Sponsoring Divisions: Electronics and Photonics Dielectric Science & Technology High Temperature Materials Published by The Electrochemical Society 65 South Main Street, Building D Pennington, NJ 08534-2839, USA tel 609 737 1902 fax 609 737 2743 www.electrochem.org Vol. 28, No. 1 TM

Copyright 2010 by The Electrochemical Society. All rights reserved. This book has been registered with Copyright Clearance Center. For further information, please contact the Copyright Clearance Center, Salem, Massachusetts. Published by: The Electrochemical Society 65 South Main Street Pennington, New Jersey 08534-2839, USA Telephone 609.737.1902 Fax 609.737.2743 e-mail: ecs@electrochem.org Web: www.electrochem.org ISSN 1938-6737 (online) ISSN 1938-5862 (print) ISSN 2151-2051 (cd-rom) ISBN 978-1-56677-791-9 (Hardcover) ISBN 978-1-60768-141-0 (PDF) Printed in the United States of America.

Preface The international symposium on a c was held in Vancouver, Canada, on April 26 and April 27, 2010, as a part of the 217 th Meeting of the Electrochemical Society. The main objective of this annual symposium was to provide a forum for scientists and technologists to discuss and share recent progress on emerging materials, processes and technologies that can be applied to large area silicon wafers either to enhance the performance of analog and digital integrated circuits or to enable revolutionary device structures with entirely new functionalities. This issue of contains the majority (more than 80%) of the papers presented at the symposium. The papers are arranged in several sections. The keynote address covered new device structures and new materials alternatives for CMOS scaling into the era. This was followed by two sessions on Channel and Source/Drain Engineering. This important area remains a core part of the symposium and the section includes both novel channel materials and advances in annealing technologies and new short-time process methodologies for dopant incorporation. The next two sessions were dedicated to High-k Dielectrics and Metal Gates. Advanced gate stack materials and processing techniques are another focus area of the symposium. The papers discuss a wide span of topics ranging from fundamental aspects of transport in this new class of materials to electrical behavior and reliability. The symposium was concluded with a poster session. Most papers from the poster session are included in this issue of. The organizers are grateful to the speakers for their interest in the symposium, for submitting high-quality abstracts and preparing their manuscripts and participation at the symposium. Finally, the success of this symposium would not have been possible without the financial support given by the sponsoring ECS divisions, Electronics and Photonics (lead sponsor), Dielectric Science and Technology, and High Temperature Materials (cosponsors) as well as the following industrial sponsors (at the time of printing of this book): Air Liquide, ASM International, IBM, Mattson Technology Inc., Qualcomm and Sigma-Aldrich. E. P. Gusev, Qualcomm MEMS Technologies, San Jose, California, USA H. Iwai, Tokyo Institute of Technology, Yokohama, Japan D.-L. Kwong, Institute of Microelectronics, Singapore F. Roozeboom, University of Technology, and TNO Science and Industry, Eindhoven, The Netherlands M. C. Öztürk, North Carolina State University, Raleigh, North Carolina, USA P. J. Timans, Mattson Technology, Inc., Fremont, California, USA V. Narayanan, IBM T.J. Watson Research Center, Yorktown Heights, New York, USA March 2010

ECS Transactions, Volume 28, Issue 1 Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment Table of Contents Chapter 1 Plenary Session (Invited) Silicon Photonics Technologies for Monolithic Electronic-Photonic Integrated Circuit 3 Chapter 2 Channel and Source/Drain Engineering I (Invited) Scaling FETs to 10 nm: Coulomb Effects, Source Starvation, and Virtual Source Cross-Sectional UV-Raman Measurement for Obtaining Two-Dimensional Channel Stress Profile in Extremely High-Performance pmosfets Novel Noncontact Approach to Characterization of Mobility in Inversion Layers Using Corona Charging of Dielectric and SPV Monitoring of Sheet Resistance Carrier Mobility Variations in Self-Aligned Germanium MOS Transistors 15 27 33 43

Chapter 3 Channel and Source/Drain Engineering II (Invited) Strained Si:C Using ClusterCarbon Implant (Invited) Epitaxial Growth of Si:C Alloys: Process Development and Challenges Investigation of the New Physical Model of Ohmic Contact for Future Nanoscale Contacts (Invited) Advanced (Millisecond) Annealing in Silicon Based Semiconductor Manufacturing Advanced Source/Drain Engineering for MOSFETs: Schottky Barrier Height Tuning for Contact Resistance Reduction 53 63 73 81 91 Chapter 4 High-k / Metal Gate Stacks I Oxygen Transport in High-k Metal Gate Stacks and Physical Characterization by SIMS Using Isotopic Labeled Oxygen (Invited) Ultimate EOT Scaling (< 5Å) Using Hf-Based High- Impact on Carrier Mobility Physical and Electrical Properties of MOCVD Grown HfZrO 4 High-k Thin Films Deposited in a Production-Worthy 300 mm Deposition System 105 115 125

(Invited) Innovative Deposition Technology for Advanced Materials Transformation of Crystalline Structure of HfO 2 by La- or Y-Oxide Capping and Annealing (Invited) Rare Earth Materials for Semiconductor Applications Impact of the Metal Gate on Carrier Transport in HK/MG Transistors 137 145 155 165 Chapter 5 High-k / Metal Gate Stacks II (Invited) High Performance and Highly Uniform Metal Hi-K Gate-All-Around Silicon Nanowire MOSFETs Investigation of Band Gap, Band Alignment and Bonding States of the (Tb x Sc 1-x ) 2 O 3 /Si System Electrical Characterization of ALD Al 2 O 3 and HfO 2 Films on Germanium (Invited) Electrical and Physical Properties of High-k Gate Dielectrics on In x Ga 1-x As Effect of Ozone Concentration on Atomic Layer Deposited HfO 2 on Si (Invited) Interface Investigation of ALD Dielectrics on III-N Substrates for RF, Mixed Signal and Power Applications 179 191 201 209 221 227

(NH 4 ) 2 S Passivation of High-k/In 0.53 Ga 0.47 As Interfaces: A Systematic Study of (NH 4 ) 2 S Concentration 231 Chapter 6 Poster Session Structural and Electrical Properties of High-k HoTiO 3 Gate Dielectrics Influence of Postdeposition Annealing on Physical and Electrical Properties of High-k Yb 2 TiO 5 Gate Dielectrics Nondestructive Characterization of Ge Content and Ge Depth Profile Variations in Si 1-x Ge x /Si by Multiwavelength Raman Spectroscopy Optical Characterization of Surface Profiles of Various Si 1-x Ge x /Si Wafers Before and After Annealing Step Hole-Trapping Mechanism and SILC of Dual-Layer nc-ito Embedded ZrHfO High-k Nonvolatile Memories Electrical Extraction of One Dimensional MOSFET Doping Profiles by Threshold Voltage Measurement Selective Epitaxial Growth of Silicon Layer Using Batch-Type Equipment for Vertical Diode Application to Next Generation Memories Electrical Characterization of High-Pressure Reactive Sputtered Sc 2 O 3 Films on Silicon Atomically Flattening Technology at 850ºC for Si(100) Surface 241 247 253 261 269 277 281 287 299

Investigation of the Interface Oxide of Al 2 O 3 /HfO 2 and HfO 2 /Al 2 O 3 Stacks on GaAs(100) Surfaces Impact of Work Function Optimized S/D Silicide Contact for High Current Drivability CMOS Dependence of SOI Properties on Memory Characteristics in a Cap-Less Memory Cell Dopant Transport in Tungsten Silicide Buried Layers for Application in SSOI Low Temperature Epitaxy of Si and SiGe Using Disilane Based Chemistry for Electronic Purposes Analytical Characterization of ALD Thin Film Precursors SiO Emission and Incorporation in Silicon Oxidation Process Using Molecular Dynamics Method Electron Tunneling Between Si Quantum Dots and Two Dimensional Electron Gas under Optical Excitation at Low Temperatures Hydrogen Implantation in Germanium Application of Atmospheric Plasma for Low Temperature Wafer Bonding Effect of In Situ Hydrogen Annealing on Dielectric Property of a Low Temperature Silicon Nitride Layer in a Bottom-Gate Nanocrystalline Silicon TFT by Catalytic CVD 311 315 325 331 343 349 361 369 375 385 395

Fabrication of High Electrical Performance NILC-TFTs Using FSG Buffer Layer Improved Performance of MIC Poly-Si TFTs Using Driven-In Nickel Induced Crystallization with Cap SiO 2 by F Implantation 401 405 Author Index 409