Nagatsuta, Midori-ku, Yokohama , Japan. Technology, 4259-S2-20 Nagatsuta, Midori-ku, Yokohama , Japan

Similar documents
Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Interface Properties of La-silicate MOS Capacitors with Tungsten Carbide Gate Electrode for Scaled EOT

Annealing Effect on the Electrical Properties of La 2 O 3 /InGaAs MOS Capacitors

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

Passivation of SiO 2 /SiC Interface with La 2 O 3 Capped Oxidation

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Electrical characteristics of Gd 2 O 3 thin film deposited on Si substrate

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

Effects of post-metallization annealing of high-k dielectric thin films grown by MOMBE

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water

Design of Higher-k and More Stable Rare Earth Oxides as Gate Dielectrics for Advanced CMOS Devices

Development of Low Temperature Oxidation Process Using Ozone For VlSI

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

Review Literature for Mosfet Devices Using High- K

Passivation of SiO 2 /Si Interfaces Using High-Pressure-H 2 O-Vapor Heating

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel

Annual Meeting. North Carolina State University Dr. Veena Misra. January 17 19, 2017 December

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Instructor: Dr. M. Razaghi. Silicon Oxidation

Low temperature formation of nc-si by ICP-CVD with internal antenna. A. Tomyo, H. Kaki, E. Takahashi, T. Hayashi, K. Ogata

Hei Wong.

1. Introduction. 2. Experiments. Paper

Oxide Growth. 1. Introduction

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Master Thesis. Effects of Flash Lamp Anneal processing on Electrical Characteristics of MOS Devices

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

FAST AND SLOW-STATE TRAPS AT THE MOSFET OXIDE INTERFACE WITH A TEMPERATURE DEPENDENT C-V METHOD.

Hafnium silicate and nitrided hafnium silicate as gate dielectric candidates for SiGe-based CMOS technology

Atomic layer deposition of advanced gate oxides for scaled MOSFET

Electrical characterization of La-silicate gate dielectrics for SiC power devices

Effect of Hydrogen Treatment on Electrical Properties of Hafnium Oxide for Gate Dielectric Application

Czochralski Crystal Growth

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

0HE, United Kingdom. United Kingdom , Japan

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

Amorphous and Polycrystalline Thin-Film Transistors

Effect of High Pressure Deuterium Annealing on Performance and Reliability of MOSFETs with High-k Gate Dielectrics and Metal Gate

Thermal Evaporation. Theory

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

CHARACTERIZATION OF HAFNIUM OXIDE FILM DEPOSITED USING ATOMIC LAYER DEPOSITION SYSTEM

ARTICLE IN PRESS. Materials Science in Semiconductor Processing

Lect. 2: Basics of Si Technology

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Characterization of Interfacial Oxide Layers in Heterostructures of Hafnium Oxides Formed on NH 3 -nitrided Si(100)

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

A Study on Process Optimization for High Performance La2O3-MOS devices

Interface Structure and Charge Trapping in HfO 2 -based MOSFETS

Dublin City University School of Physical Sciences

Journal of The Electrochemical Society, /2004/ /1/5/$7.00 The Electrochemical Society, Inc.

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions

Research Article X-Ray Photoemission Study of the Oxidation of Hafnium

Influence of thermal processing on the electrical characteristics of MOS capacitors on strained-silicon substrates

Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation Conditions

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

Nano structural properties of Al 2 O 3 /SiO 2 /Si in Integrated electronic Systems

Study on Fabrication Process for High-k Gate MOSFET Using Rare Earth Oxides

ECE 541/ME 541 Microelectronic Fabrication Techniques

Study on the hydrogenated ZnO-based thin film transistors

Chapter 3 Silicon Device Fabrication Technology

STUDY OF INFLUENCE OF IN SITU CLEANING PROCESS ON THE QUALITY OF PECVD SiO 2 / LPCVD POLYSILICON INTERFACE

ELEC 7364 Lecture Notes Summer Si Oxidation. by STELLA W. PANG. from The University of Michigan, Ann Arbor, MI, USA

MOS Front-End. Field effect transistor

More on oxidation. Oxidation systems Measuring oxide thickness Substrate orientation Thin oxides Oxide quality Si/SiO2 interface Hafnium oxide

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

A study on resistive-switching behavior of CeO 2 metal-insulator-metal structures for resistance random access memory devices

THE RADIATION RESPONSE AND LONG TERM RELIABILITY OF HIGH-Κ GATE DIELECTRICS. James Andrew Felix. Dissertation. Submitted to the Faculty of the

CHAPTER 4: Oxidation. Chapter 4 1. Oxidation of silicon is an important process in VLSI. The typical roles of SiO 2 are:

M. Hasumi, J. Takenezawa, Y. Kanda, T. Nagao and T. Sameshima

MOS Gate Dielectrics. Outline

Polycrystalline Silicon Thin-Film Transistors Fabricated by Defect Reduction Methods

Suppressive Effect of Interface Reaction and Water Absorption by Al Incorporation into Pr-oxide Film

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

Implementation of high-k gate dielectrics - a status update

Passivation of InAs and GaSb with novel high dielectrics

Scanning Transmission Electron Microscopy of Thin Oxides

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

Materials Characterization

MOLYBDENUM AS A GATE ELECTRODE FOR DEEP SUB-MICRON CMOS TECHNOLOGY

Influence of Oxide Layer Thickness and Silicon Carbide (SiC) Polytype on SiC MOS Capacitor Hydrogen Sensor Performance

Hafnium Dioxide (HfO 2 ) High-k Dielectric thin film formation for Gate Insulators in IC s

Silicon diffusion control in atomic-layer-deposited Al 2 O 3 /La 2 O 3 /Al 2 O 3 gate stacks using an Al 2 O 3 barrier layer

J. Niinistö, M. Ritala, and M. Leskelä Department of Chemistry, University of Helsinki, Helsinki, Finland

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

High Density Iron Silicide Nanodots Formed by Ultrathin SiO 2 Film Technique

MoS 2 film thinning on high-temperature sputtering for enhancement-mode nmosfets

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

THE PENNSYLVANIA STATE UNIVERSITY SCHREYER HONORS COLLEGE DEPARTMENT OF ENGINEERING SCIENCE AND MECHANICS

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Room temperature nanocrystalline silicon single-electron transistors

Ti silicide electrodes low contact resistance for undoped AlGaN/GaN structure

MICROCHIP MANUFACTURING by S. Wolf

Transcription:

Improvement of Interface Properties of W/La O 3 /Si MOS Structure Using Al Capping Layer K. Tachi a, K. Kakushima b, P. Ahmet a, K. Tsutsui b, N. Sugii b, T. Hattori a, and H. Iwai a a Frontier Collaborative Research Center, Tokyo Institute of Technology, 459-S- Nagatsuta, Midori-ku, Yokohama 6-85, Japan b Interdisciplinary Graduate School of Science and Engineering, Tokyo Institute of Technology, 459-S- Nagatsuta, Midori-ku, Yokohama 6-85, Japan Electrical characteristics of W/La O 3 /Si MOS capacitors have been investigated under various annealing condition. Relatively high interface state densities (D it ) of 1 1 cm - ev -1 observed after annealing at to 5 o C was effectively reduced down to 1 11 cm - ev -1 by using an Al capping layer in the annealing process. However, a trade off between high capacitance density and low D it has been observed in this method. Introduction Metal oxide semiconductor field effect transistors (MOSFETs) which are the core of semiconductor integrated circuits have been advanced by the scaling. Currently, downsizing of the gate length has reached 3 nm, and the physical thickness of the silicon oxy-nitride (SiON) gate dielectric has become 1. nm, which corresponds to three atomic layers of Si (1). Therefore, the scaling of the gate dielectric will firstly reach its critical limit, where the gate leakage currents by tunneling through the thin SiON layer increases exponentially. As a solution of the problem, introduction of new dielectric materials with higher dielectric constant (high-k) having the identical equivalent oxide thickness (EOT) is promising because of their thicker physical thickness. The exploration of the high-k materials for gate dielectric has been continued for more than ten years worldwide. Up to now, hafnium dioxides (HfO ) exhibits superior characteristics in the EOT range around 1 nm among various high-k dielectrics (). However, it has been revealed that HfO film need to be mixed with Si and that insertion of a thin SiO or SiON layer (.5 ~.7 nm) between the high-k film and Si substrate is necessary in order to satisfy the requirements of high-temperature-process endurance and relatively high carrier mobility (3). Consequently, the lower limit of EOT is estimated to be about.8 nm as far as using this structure. In the future generation in which EOT less than.8 nm is required, it is necessary to direct contact of high-k layer with Si substrate without any Si-oxide based interfacial layer. We have focused on Lanthanum (La) based oxide as a candidate of new high-k dielectric, which is expected to realize EOT less than.8 nm. Lanthanum oxide (La O 3 ) is known to have high k value of ~7 and wide band-gap of ~5.5 ev (4). The main issues of La O 3 to be solved are thermal stability for high temperature annealing which increases the interfacial state density, and hygroscopic properties which lowers the dielectric constant. In this paper, we demonstrate firstly that EOT of.8 nm or less is achievable with W/La O 3 /Si MOS structure using the in situ deposition process. And

secondly, decrease in interface state density (D it ) by using Aluminum (Al) capping layer in the annealing process is discussed. Experiment Figure 1 summarizes device fabrication flow of La O 3 MOS-capacitors. La O 3 MOS capacitors were fabricated on n-type (1)-oriented -5 Ω-cm Si substrates. To determine the capacitor area and to avoid unexpected peripheral water absorption, a nm-thick thermal oxide layer was formed and active areas were patterned by photolithography. The wafers were then cleaned by a mixture of H SO 4 /H O at 85 o C for 5 min to remove all the resist-related organic contamination, followed by diluted HF cleaning. Thin film of La O 3 was deposited by e-beam evaporation method from a La O 3 pressed target in an ultra-high vacuum chamber whose base pressure was 1-6 Pa. For all the samples, the substrate temperature during the deposition was kept at 3 o C by infrared heater and the deposition rate was.5 nm/min controlled by quartz thickness monitor. To avoid the water absorption to the La O 3 film, tungsten (W) layer of 6 nm in thickness was deposited in situ on the La O 3 film by RF sputtering with power of 15 W. For some samples, an Al capping layer was evaporated ex situ on the W layer. Electrodes of W or Al/W were lithographically patterned to form MOS capacitors. Post metallization annealing (PMA) was carried out for 3 minutes in either N or the forming gas (H 3%+N 97%) ambient. Capacitance-voltage (C-V) and current density-voltage (J-V) measurements have been performed using Agilent 484A multi-frequency LCR meter and Agilent 4156C semiconductor parameter analyzer, respectively. EOT and flat-band voltage (V FB ) have been extracted from the C-V data using the NCSU CVC modeling program (5). The C-V data measured at 1 khz to 1 MHz were obtained from μm x μm square capacitors, and the J-V data were obtained from 1 μm x 1 μm square capacitors. Field thermal oxidation (~nm) Active area patterning SPM, HF-last treatment La O 3 e-beam evaporation at 3 o C under ~1-6 Pa Tungsten RF-sputtering (~6nm) Aluminum capping layer evaporation (~6nm) Electrode patterning Post Metallization Annealing Back side electrode formation (Aluminum evaporation) w/o Al layer n-si (1) SiO La O 3 W Al w Al layer Figure 1 Process flow of Tungsten gate La O 3 MOS-Capacitors using Al capping layer. Al

Results and Discussions W/La O 3 MOS Capacitor with EOT =.5 nm Moisture absorption due to hygroscopic properties of La O 3 lowers the dielectric constant, which is attributed to formation of La(OH) 3 having lower permittivity (6). Figure shows C-V and J-V characteristics of a sample before annealing in which W was coated in situ on the La O 3 films with thickness of ~ 3 nm. The gate voltage was swept from inversion to accumulation to get forward C-V and from accumulation to inversion to get reverse C-V. The large capacitance density with low leakage current (1. x 1 - A/cm - at 1 V) was achieved. The EOT determined by CVC fitting with quantum effect correction revealed to be.5 nm. However, the large hysteresis of ~ 1 mv in the C-V curve is also observed due to the oxide traps close to the interface, which can communicate with the Si substrate (7). The shoulder in the 1 khz to 1 MHz range (see the inset in Figure ), appeared in the depletion region near the flat band voltage, which strongly suggests high D it, particularly, silicon dangling bonds at the interface. Capacitance [μf/cm ] 6 5 4 3 1 1kHz 1kHz 1kHz 1MHz -1 -.5 C-V data @ 1MHz CVC calculation W/La O 3 /Si MOS-capacitor w/o annealing EOT=.5nm J g (@V g =1V) = 1.x1 - A/cm -1.5-1 -.5.5 1 1.5.5 3 1 4 1 1 1-1 -4 1-6 1-8 Leakage current [Α/cm ] V g [V] Figure. C-V and J-V characteristics of W/La O 3 /n-si capacitor without annealing. EOT was evaluated to be.5 nm. The leakage current was 1. x 1 - A/cm at V g = 1 V. The inset is expanded C-V curve in the 1 khz to 1 MHz range around the flat band voltage. For the directly deposited LaO3 capacitors, improvement of interfacial quality is a very significant issue. The values of Dit were extracted by the conductance method (8). Capacitance (Cm) and conductance (Gm) in parallel circuit mode were measured at frequencies ranging from 1 Hz to 1 MHz. The equivalent parallel conductance over angular frequency (GP/) can be expressed as

GP = ω G m ωg + ω m C C ox ( ) ox C m [1] where C ox is the capacitance of insulator at accumulation. G P /ω versus frequency (f = ω/π) is plotted in Figure 3. The applied V g has been converted to the band bending. An approximate expression giving the D it in terms of the maximum conductance is.5 GP D it [] q ω where q is the electronic charge. The inset in Figure 3 shows D it of the sample with EOT of.5 nm as a function of the band bending. As a result, the large D it over 1 1 cm - ev -1 is obtained, and it should be reduced in order to satisfy requirements of high performance MOSFETs. G p /ω [x 1-7 F/cm ] 5 4 3 1 E F -E i.3 ev.5 ev. ev.15 ev.1 ev.5 ev. ev -.5 ev D it [cm -. ev -1 ] 1 13 1 1 max -.1.1..3 E F -E i [ev] 1 1 3 1 4 1 5 1 6 Frequency [Hz] Figure 3. Equivalent parallel conductance over angular frequency versus frequency plots for a W/La O 3 /n-si capacitor. E F -E i represents the band bending at silicon surface in ev. The inset is D it versus band bending at the surface of silicon. Effect of Forming Gas Annealing with Al Capping Layer Figure 4 (a) shows Dit at Etrap = Ei as a function of annealing temperature in W/LaO3/n-Si capacitors with and without Al capping layer. Usually, low-temperature PMA at 35-45 oc in forming gas (FG) have been successfully used in MOS fabrication technologies to passivate silicon dangling bonds, and consequently, to reduce Dit at SiO/Si interface (9). For the samples without Al capping layer, relatively high

values of Dit were observed entire temperature range, however, the decrease in Dit over 4 oc down to.1 x 11 cm-ev-1 at 5oC was observed. The tendency of decrease in Dit at higher temperature is similar to that observed in the HfO case, where high temperature over 5 oc is required to enhance hydrogen diffusion through high-k towards interface in order to passivate Si dangling bonds (1). Compared to annealing in N ambient, it is speculated that interface states are passivated by hydrogen. These results suggest that more injection of hydrogen is required for further improvement of the interface properties. On the other hand, in the case of the sample with Al capping layer, D it has been significantly decreased, either in N or FG ambient, where minimum D it of 3.3 x 1 11 cm - ev -1 was obtained under FG annealing at 35 o C. H. Shang et al. reported that the capped Al on W/SiO /Si system contributes to produce atomic hydrogen by reacting with water vapor and to introduce hydrogen atoms into tungsten gate (11). It is indicated that the produced hydrogen atoms can passivate the SiO /Si interface effectively in MOS structures. Similar effects are expected in our case. Figure 4 (b) shows dependence of D it on annealing time at 3 o C and 5 o C in N ambient. In the case of 3 o C annealing, the D it decreased with PMA time. On the contrary, the D it increased with PMA time in the case of 5 o C annealing. D it @E trap =E i [cm -. ev -1 ] 1 14 1 13 1 1 1 11 w Al, PMA in F.G w Al, PMA in N w/o Al, PMA in F.G w/o Al, PMA in N absorbed La O 3 PMA temperature [ o C] (a) 1 3 4 5 D it @E trap =E i [x1 1 cm -. ev -1 ]. 1.8 1.6 1.4 1. 1..8.6.4. w Al capping layer N ambient 3 o C 5 o C 4 6 8 1 PMA time [min] Figure 4. (a) D it at E trap = E i as a function of PMA temperature in FG and N ambient for W/La O 3 /n-si capacitors with and without Al capping layer. For the moisture absorbed La O 3 MOS capacitors, PMA in N was performed. (b) Dependence of D it on annealing time at 3 o C and 5 o C in N ambient. (b) Figure 5 shows the C-V curves with and without Al capping layer after PMA at 35 o C in FG ambient. It is obvious that the shoulder, which may be attributed to interface states due to Si dangling bonds, in the depletion region near the V FB disappears by using capping with Al. However, the significant decrease in capacitance was observed in the case of use of the Al capping. Figure 6 shows EOT change as a function of PMA temperature in either FG or N ambient for W/La O 3 /n-si capacitors with and without Al capping layer. It is clear that the EOT increment on the sample with Al capping layer is quite different from that without Al capping layer. The largest difference in EOT is observed around 3 o C at which a minimum D it is obtained.

Capacitance [μf/cm ] 4 3.5 3.5 1.5 1.5 PMA 35 o C in FG for 3min w/o Al cap D it =1.x1 13 cm -. ev -1 EOT=.79nm 1kHz 1kHz 1kHz 1MHz -1.5-1 -.5.5 1 1.5 Voltage [V] w Al cap D it =3.3x1 11 cm -. ev -1 EOT=1.15nm Figure 5. C-V curves with and without Al capping layer after PMA at 35 o C in FG ambient EOT [nm] 1.4 1.3 1. 1.1 1.9.8.7.6.5.4 w Al, PMA in F.G w Al, PMA in N w/o Al, PMA in F.G w/o Al, PMA in N 1 3 4 5 PMA temperature [ o C].36 nm Figure 6. EOT as a function of PMA temperature in FG and N ambient for W/La O 3 /n- Si capacitors with and without Al capping layer. The decrease in capacitance can be explained by the following three possible mechanisms. Firstly, the Al diffuses into the W layer, which has columnar crystalline structure, by annealing, then eventually form an Al O 3 layer (k: 8.5~1) at the W/La O 3 interface. The difference in EOT at 3 o C PMA in FG ambient is.36 nm as shown in Fig. 6. If the dielectric constant of Al O 3 is 8.5, EOT of.36 nm corresponds to physical thickness of.78 nm by Al O 3. Figure 7 shows a cross sectional TEM image of the sample with Al layer after PMA at 5 o C in FG ambient. Al O 3 layer can hardly observe from this image, therefore, the diffusion of Al to form Al O 3 is not be the origin of the capacitance decrease probably.

W La O 3 Si nm Figure 7. Cross sectional TEM image of the sample with Al layer after PMA at 5 o C in FG ambient. Secondly, in addition to these hydrogen atoms, hydroxyl ions are also generated during decomposition of water by the Al capping layer. Considering that the D it of the capacitors with Al capping is close to that of water absorbed La O 3 as shown in Figure 4 (a), OH - can also penetrate into La O 3 film to form La(OH) 3, which can increase the EOT. Figure 8 shows the V FB as a function of PMA temperature in N or FG ambient. The V FB is dependent on high-k/si interfacial dipole or fixed charge. Here, the V FB of La(OH) 3 capacitor differs from that of La O 3 capacitor as shown in Figure 8. In the case of the sample with Al capping layer, the V FB approaches to that of moisture absorbed La O 3 around 3 o C PMA. In addition, negative shift in V FB above 4 o C may be caused by thermal decomposition of La(OH) 3 (1). The existence of La(OH) 3, however, has not yet been confirmed. Finally, the further La O 3 /Si interface reaction may be the other possible origin for the EOT increment by Al capping layer. This is because high-k/si interface reaction is strongly dependent on the gate electrode structure, material and fabrication conditions, especially residual oxygen in gate metal..4.3. w Al, PMA in F.G w Al, PMA in N w/o Al, PMA in F.G w/o Al, PMA in N absorbed La O 3 V FB [V].1 -.1 -. -.3 1 3 4 5 PMA temperature [ o C] Figure 8. V FB as a function of PMA temperature in N or FG ambient for W/La O 3 /n-si capacitors with and without Al capping layer. For the moisture absorbed La O 3 MOS capacitor, PMA in N is performed as references.

Conclusion In this report, we demonstrated that EOT of.5 nm is achievable with W/La O 3 /Si MOS structure. However, the large D it over 1 1 cm - ev -1 is observed. The effect of Al capping layer on W/La O 3 /Si system have been investigated in order to improve interfacial properties. The Al capping layer can decrease D it. However, serious increase in EOT was observed. This may be induced by the formation of La(OH) 3 or the difference of La O 3 /Si interface reaction between the cases of with and without the Al capping layer. Further study is necessary to solve this problem. Acknowledgments This work was partially supported by Semiconductor Technology Academic Research Center (STARC). References 1. International Technology Roadmap for Semiconductors. International Technology Roadmap for Semiconductors 6 update. (http://www.itrs.net/ ). S. Sayan, E. Garfunkel and S. Suzer, Appl. Phys. Lett., 8, 135 (). 3. O. Renault, D. Samour, D. Rouchon, Ph. Holliger, A. -M. Papon, D. Blin and S. Marthon, Thin Solid Films, 48, 19 (). 4. J. Robertson, J. Non-Cryst. Solids, 33, 94, (). 5. J.R. Hauser, CVC, NCSU Software, Version 5., Department of Electrical and Computer Engineering, North Carolina State University, Raleigh, NC. 6. Y. Zhao, M. Toyama, K. Kita, K. Kyono, and A. Triumi, Appl. Phys. Lett., 88, 794, (6). 7. D. M. Fleetwood, M.R. Shaneyfelt, W.L. Warren, J.R. Schwank, T.L. Meisenheimer and P.S. Winokur, Microelectron. Reliab., 35 no. 3, 43, (1995). 8. Dieter K. Schroder, Semiconductor Material and Device Characterization 3rd Edition, p. 347, Wiley Interscience, NewYork (6) 9. N. M. Jhonson, D. K. Biegelsen, and M. D. Moyer, J. Vac. Sci. Technol., 19, 39 (1981). 1. R. J. Carter, et al., Appl. Phys. Lett., 83, 533 (3). 11. H. Shang et al., Appl. Phys. Lett., 78, 3139 (1) 1. Gin-ya Adachi, SCIENCE of rare earths, p.34, Kagakudoujin, Kyoto (1999)