Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application

Similar documents
A Study on Thermal Stability Improvement in Ni Germanide/p-Ge using Co interlayer for Ge MOSFETs

Characterization and Improvement of Reverse Leakage Current of Shallow Silicided Junction for Sub-100 nm CMOS Technology Utilizing N 2 PAI

NiPt salicide process improvement for 28nm CMOS with Pt(10%) additive

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

I. INTRODUCTION. Horyeong Lee 1, Meng Li 1, Jungwoo Oh 2, and Hi-Deok Lee 1,* Schottky diode, effective Schottky barrier height, n- channel MOSFETs

Reducing Contact Resistance Between Ni-InGaAs and n-in 0.53 Ga 0.47 As using Sn Interlayer in n-in 0.53 Ga 0.47 As MOSFETs

Effect of Pt on agglomeration and Ge outdiffusion in Ni(Pt) germanosilicide

2007 IEEE International Conference on Electron Devices and Solid-State Circuits

Schottky Barrier MOSFETs with High Current Drivability for Nano-regime Applications

METAL OXIDE SEMICONDUCTOR (MOS) DEVICES. Term Paper Topic: Hafnium-based High-K Gate Dielectrics

Role of interface reaction on resistive switching of Metal/a-TiO 2 /Al RRAM devices

Low temperature MOSFET technology with Schottky barrier source/drain, high-k gate dielectric and metal gate electrode

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Interplay between grain boundary grooving, stress, and dealloying in the agglomeration of NiSi 1 x Ge x films

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

High Density Plasma Etching of IrRu Thin Films as a New Electrode for FeRAM

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Atomic-Layer-Deposition of HfO 2 on Si and Ge Substrates from Hafnium Tetrakis(diethylamino) and Water

Chang Gung University, Tao-Yuan, 333, Taiwan. Industrial Technology Research Institute, Hsinchu 310, Taiwan. Fax:

A Proposal of Schottky Barrier Height Tuning Method with Interface controlled Ni/Si stacked Silicidation Process

EFFECT OF GROWTH TEMPERATURE ON THE CATALYST-FREE GROWTH OF LONG SILICON NANOWIRES USING RADIO FREQUENCY MAGNETRON SPUTTERING

Highly Reliable Low Temperature Ultrathin Oxides Grown Using N 2 O Plasma

X-Ray Reflectivity Study of Hafnium Silicate Thin Films Prepared by Thermal Chemical Vapor Deposition

Crystalline Silicon Solar Cells With Two Different Metals. Toshiyuki Sameshima*, Kazuya Kogure, and Masahiko Hasumi

Atomic layer epitaxy of rare earth oxide films on GaAs(111)A and their device properties

The Effect of Heat Treatment on Ni/Au Ohmic Contacts to p-type GaN

Characteristics of Hafnium-Aluminum-Oxide Thin Films Deposited by Using Atomic Layer Deposition with Various Aluminum Compositions

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Low Thermal Budget NiSi Films on SiGe Alloys

Laser Spike Annealing for sub-20nm Logic Devices

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

Lecture 22: Integrated circuit fabrication

1. Introduction. 2. Experiments. Paper

High-efficiency GaN-based light-emitting diodes fabricated with identical Ag contact formed on both n- and p-layers

ENS 06 Paris, France, December 2006

Make sure the exam paper has 9 pages total (including cover page)

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

High Transmittance Ti doped ITO Transparent Conducting Layer Applying to UV-LED. Y. H. Lin and C. Y. Liu

Heterostructures of Oxides and Semiconductors - Growth and Structural Studies

SiC high voltage device development

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

Processing and Defect Control in Advanced Ge Technologies

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Effect of Post-Deposition Treatment on Characteristics of P-channel SnO

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

Correlation Between Energy Gap and Defect Formation of Al Doped Zinc Oxide on Carbon Doped Silicon Oxide

CURRICULUM VITAE. Moon Hyung Jang

Low D it High-k/In 0.53 Ga 0.47 As Gate Stack with CET down to 0.73 nm and Thermally Stable Silicide Contact by Suppression of Interfacial Reaction

Making III-V contact with silicon substrates

Deposited by Sputtering of Sn and SnO 2

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

Ultra Low Resistance Ohmic Contacts to InGaAs/InP

Field-Effect Transistor with Deposited Graphite Thin Film. Research Institute of Electronics, Shizuoka University, Johoku, Naka-ku, Hamamatsu

1.1 Research Background of Copper Metallization for GaAs-based

Passivation of InAs and GaSb with novel high dielectrics

Polycrystalline Silicon Produced by Joule-Heating Induced Crystallization

arxiv:cond-mat/ v2 [cond-mat.mtrl-sci] 29 Nov 2003

ALD of Scandium Oxide from Tris(N,N -diisopropylacetamidinato)scandium and Water

Effect of Incorporated Nitrogen on the Band Alignment of Ultrathin Silicon-oxynitride Films as a Function of the Plasma Nitridation Conditions

Chapter 3 Silicon Device Fabrication Technology

Characterization and control of defect states of polycrystalline silicon thin film transistor fabricated by laser crystallization

Hei Wong.

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

Growth of Gate Oxides on 4H SiC by NO at Low Partial Pressures

AMORPHOUS SILICON DIOXIDE LAYER FOR HIGH EFFICIENCY CRYSTALLINE SOLAR CELLS

Reliability and Stability Issues for Lanthanum Silicate as a High-K Dielectric. Raleigh NC 27695, USA. Raleigh NC 27695, USA

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

Review Literature for Mosfet Devices Using High- K

High Sensitivity and Low Power Consumption Gas Sensor Using MEMS Technology and Thick Sensing Film

Nitrogen Incorporation into Hafnium Oxide Films by Plasma Immersion Ion Implantation

CEMS study on diluted magneto titanium oxide films prepared by pulsed laser deposition

0HE, United Kingdom. United Kingdom , Japan

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Workfunction Tuning for Single-Metal Dual-Gate With Mo and NiSi Electrodes

MOS Front-End. Field effect transistor

Performance Predictions for Scaled Process-induced Strained-Si CMOS

Effect of Ti/Cu Source/Drain on an Amorphous IGZO TFT Employing SiNx Passivation for Low Data-Line Resistance

Process Temperature Dependence of Al 2 O 3 Film Deposited by Thermal ALD as a Passivation Layer for c-si Solar Cells

Wet Processing Techniques for Achieving Ultra-shallow Junctions in Future CMOS Devices

SUPPLEMENTARY INFORMATIONS

This journal is The Royal Society of Chemistry S 1

Corrosion Protect DLC Coating on Steel and Hastelloy

566 Zheng Zhong-Shan et al Vol Device and experiment First, standard SIMOX (separation-by-implantedoxygen) wafers were formed through implanting

Response surface optimization for high-performance solid-phase crystallized silicon-germanium thin film transistors

Electrical characteristics of atomic layer deposited lanthanum oxide (La 2 O 3 ) films on In 0.53 Ga 0.47 As channel

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Effect of grain size on the mobility and transfer characteristics of polysilicon thin-film transistors

Supplementary Information

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

Amorphous Er 2 O 3 films for antireflection coatings

Antimony-based Quaternary Alloys for High-Speed Low-Power Electronic Devices

行政院國家科學委員會補助專題研究計畫成果報告

1 HRL Laboratories, LLC, Malibu, California, Baskin School of Engineering, University of California, Santa Cruz, CA *

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Scanning Transmission Electron Microscopy of Thin Oxides

1 Thin-film applications to microelectronic technology

Microstructure, morphology and their annealing behaviors of alumina films synthesized by ion beam assisted deposition

Electron backscattered diffraction study of poly-si by Ni-mediated crystallization of amorphous silicon using a SiO 2 nanocap

Resistive switching of CeO x /SiO 2 stacked film based on anodic oxidation and breakdown

GROWTH ANALYSIS OF ELECTRODEPOSITED COPPER THIN FILM ON TUNGSTEN COATED SILICON SAMPLE

Transcription:

Tuning Schottky Barrier Height of Ni Germanide for High Performance Nano-scale Ge MOSFETs Application YING-YING ZHANG, JUNG-DEUK BOK, SANG-UK PARK, BYOUNG-SOEK PARK, SE-KYUNG OH, HONG-SIK SHIN, HYUK-MIM KWON, IN-SHIK HAN, HI-DEOK LEE * Department of Electronics Engineering Chungnam National University Gung-Dong 220, Yuseong-Gu, Daejeon 305-764 KOREA hdlee@cnu.ac.kr http://midaslab.cnu.ac.kr Abstract: - In this study, we tuned the Schottky barrier height of Ni germanide by using and incorporation into Ni germanide for high performance nano-scale Ge MOSFETs application. The results exhibited that the electron Schottky barrier height or work function of Ni germanide was increased about 30 mev and decreased about 90 mev by and incorporation, respectively. Hence, the proposed and incorporated Ni germanide structures are promising for high performance Ge pmosfets and nmosfets, respectively, due to the lower germanide to source/drain contact resistance. Key-Words: - Schottky barrier height, Ni germandie, incorporation, incorporation, high performance, Ge MOSFETs 1 Introduction Self-aligned silicidation (salicide) or self-aligned germanidation (salmanide) is one of key technologies in the state-of-art complementary metal oxide semiconductor field emitter transistor (CMOSFET) process to make ohmic or Schottky contact at source/drain and gate region [1]. Ni germanide is being considered as a promising salmanide material for Ge MOSFETs because of its advantages over other germanide materials, such as, low formation temperature, nickel monogermanide phase, and low sheet resistivity [2-3]. There are considerable efforts currently in the field to adjust Schottky barrier heights to reduce the contact resistance between the contact and the doped semiconductor. As a result, elements that bring the work function of the germanide/silicide closer to the band edge are studied extensively. Rare-earth elements such as and Er have work function closer to the conduction band while elements such as and Pt have work function closer to the valence band. Therefore, rare-earth metal germanides can exhibit low Schottky barrier heights to n-type devices and are therefore suitable for electrical contact formation in nmosfets either as ohmic contacts to n+ source/drain or even as Schottky source/drain, while or Pt element is suitable for pmosfets. In the silicide, there were a lot of studies on the decrease of the Schottky barrier height between Ni silicide and source/drain to improve the device performance by reducing the contact resistance, that is, incorporation of Pt or [4-6] and rare earth metals such as, ytterbium () [7-8], erbium (Er) [9], and terbium (Tb) [10] into Ni silicide can induce lower hole and electron SBH, respectively. In the germanide, there were also a lot of studies on the Schottky barrier of germanide on Ge substrate, such as Ti, Ni,, and Pt germanide, etc [11-17]. But there was little study on the comparison of different effect of or incorporation into Ni germanide on the work function change. In this paper, the tuning of the Schottky barrier height of Ni germanide was demonstrated by using and incorporation. We achieved 30 mev increase and 90 mev decrease of Schottky barrier height of Ni germanide for electron. 2 Experimental Details The process flow for experiments was shown in Fig. 1. Patterned n-type Ge-on-Si wafers were used for exact extraction of Schottky barrier height between Ni germanide and Ge substrate. After the residual oxide removal by dipping in 1% diluted HF solution, metals were deposited by using RF magnetron sputter system. The detailed splits of structures are split to /Ni/TiN, and /Ni/TiN. Pure Ni/TiN is also used for comparison. incorporation was used to increase electron barrier height and was used to decrease electron barrier height, respectively, therefore, to tune the work function of. Rapid thermal process (RTP) was carried out for germanidation for 30 sec. The un-reacted metals were ISSN: 1790-5117 15 ISBN: 978-960-474-155-7

selectively etched off by using H 3 PO 4 solution at 150 o C for 30 sec. Diameter of Schottky diodes was split as 166, 119, 86, 56, and 42 µm. The I V relationship of Schottky diode was measured using HP4156C semiconductor analyzer. The uniformity of interface of Ni germanide was observed by using field emission scanning electron microscopy (FESEM, Model: S-4700, Maker: Hitach). n-type Ge-on-Si Substrate (Patterned) Residual Oxide Removal(1% HF, 10s) Metal Deposition: - Ni/TiN - /Ni/TiN - /Ni/TiN RTP for Germanidation ( 30sec ) Selective Wet Etching ( H 3PO 4 150 o C, 30sec) I-V Measurement and Analysis Fig. 1. Process flow for experiments. 3 Results and Discussions Work Function n-ge 0 ev Fig. 3. Cross-sectional FESEM images of formed by (a) Ni/TiN, (b) /Ni/TiN, and (c) /Ni/TiN. The cross-sectional FESEM images of formed by Ni/TiN, /Ni/TiN, and /Ni/TiN structures are shown in Fig. 3. After RTP for germanidation, the uniform interface can be obtained from all structures. 2.60 ev n-ge 4.13 ev 4.63 ev 4.79 ev Ni 5.12 ev 5.15 ev Fig. 2. Sketch map of work function of metal and n-ge. The sketch map of work function of metal and n-ge was shown in Fig. 2. The electron affinity and band gap of Ge are 4.13 and 0.66 ev, respectively. The work function of pure,, and Ni is 2.60, 5.12, and 5.15 ev, respectively. The previous study reported that the work function of was about 4.63 ev [18]. has smaller work function than Ni, while has a similar work function with Ni. The work function of is larger than n-ge for the metal/n-ge contact, the contact is made via Schottky contact. The work function of was tuned by adding and into. Current Density [A/cm 2 ] 10 3 10 2 10 1 10 0 10-1 n-ge_d=86µm Ni/TiN /Ni/TiN /Ni/TiN 10-2 -1.0-0.5 0.0 0.5 1.0 Voltage [V] Fig. 4. I-V curves of the /n-ge diodes formed by Ni/TiN, /Ni/TiN, and /Ni/TiN. Figure 4 shows I-V curves of the /n-ge diodes formed by Ni/TiN, /Ni/TiN, and /Ni/TiN structures. The extracted electron Schottky barrier height is shown in Fig. 5. The /Ni/TiN and /Ni/TiN structures show a lower and greater leakage current than pure Ni/TiN structure, respectively, possibly due to the increase and decrease of Schottky barrier height, respectively, as ISSN: 1790-5117 16 ISBN: 978-960-474-155-7

shown in Fig. 5. The Schottky barrier height was extracted using the differentiation of I-V curve [19]. The results exhibit that the electron Schottky barrier height increased about 30 mev by and decreased about 90 mev by incorporation, which implies the same increase and decrease of the work function of Ni germanide. Hence, the proposed and incorporated structures are promising structures for high performance Ge pmosfets and nmosfets, respectively, due to the lower germanide to source/drain contact resistance. Electron Barrier Height [ev] 0.54 0.52 0.50 0.48 0.46 0.44 0.42 0.40 Ni/TiN /Ni/TiN /Ni/TiN 0.38 30 60 90 120 150 180 Diode Diameter [µm] Fig. 5. Extracted electron Schottky barrier height of using Ni/TiN, /Ni/TiN, and /Ni/TiN as a function of the diode diameter. Our previous studies shown in Fig. 6 and 7 explained the distribution of and atoms after germanidation, respectively. Figure 6 shows cross-sectional scanning transmission electron microscopy (STEM, model: D-2300A) Z-contrast image and corresponding STEM energy dispersive X-ray spectrometry (EDX) maps for Ge,, and Ni atoms for the /Ni/TiN structure [20]. Figure 7 shows secondary ion mass spectrometer (SIMS, model: Model: CAMECA IMS-6f) depth profile of for the /Ni/TiN structure [21]. atoms are piled up at the /Ge interface as well as at the surface region (Fig. 6), although atoms distribute throughout the germanide. The intensity of atoms at the /Ge interface region is several times greater than that at the center region of the film. Figure 7 also shows the existence of atoms at the surface region of. Moreover, there is an increase in elements at the interface of /Ge. This indicates that a large amount of and atoms are out-diffused to the surface region through the film during Ni germanidation. Such out-diffusion behavior could be explained by the difference in the surface tension of the elements and by the much greater reactivity of Ni than the other elements, which agrees well with previous studies. Fig. 6. Cross-sectional STEM Z-contrast image for /Ni/TiN structure. The inset shows the line depth profile of Ge,, and Ni ingredients [20]. Intensity (counts/sec) 10 6 10 5 10 4 10 3 10 2 10 1 Ge Ni 10 0 0 20 40 60 80 100 120 140 Sputter Depth (nm) Fig. 7. The SIMS depth profile of for /Ni/TiN. There are peaks of concentration both at the surface region of and interface of /Ge [21]. To investigate the mechanism of the adjustment of the work function, the energy band diagram of a layer formed by using and incorporation and n-type Ge before and after contact, respectively, is demonstrated in Fig. 8. According to the description shown in Fig. 6 and 7, we can get that and atoms are piled-up at /Ge interface as well as at the surface region in the /Ni/TiN and /Ni/TiN structures. Before contact, the Fermi level in n-type Ge and was above that in the pure layer, while Fermi level in was below that in the pure layer. After contact, the Fermi level becomes constant throughout the system in thermal equilibrium, and the vacuum band energies must be bended because of its continuous characteristics. Then, the work function of was increased and decreased by and, respectively, because the has higher work function and has lower work function as shown in Fig. 8(b). ISSN: 1790-5117 17 ISBN: 978-960-474-155-7

(a) TiN (b) TiN layer layer Before Contact Increased by ~30 mev Decreased by ~90 mev n-ge After Contact Ge sub. Work Function 0 ev 2.60 ev 4.13 ev n-ge 4.63 ev 4.79 ev Ni 5.12 ev 5.15 ev n-ge Fig. 8. Energy band diagram of a layer formed by using and incorporation and n-type Ge (a) before and (b) after contact. 4 Conclusion Incorporation of and into Ni germanide is effective to tune the SBH for electron. It is shown that the incorporated and metals mainly distribute the /Ge interface as well as at the surface region, which results in the 30 mev increase and the 90 mev decrease of Schottky barrier height of Ni germanide by and incorporation, respectively. Therefore, reducing the contact resistance (or Schottky barrier height) between Ni germanide and source/drain using the and incorporation, is promising to improve the device performance of p-type and n-type nano-scale MOSFET, respectively. Acknowledgment This work was in part supported by grant No. 2009-0069103 from the Korea Science and Engineering Foundation (KOSEF). This work was also financially supported by the Ministry of Knowledge Economy (MKE) and Korea Industrial Technology Foundation (KOTEF) through the Human Resource Training Project for Strategic Technology. References: [1] S. Wolf, Silicon processing for the VLSI era Volume 4-Deep-submicron prcess technology, pp. 603-638, Lattice Press, 1995. [2] Q. Zhang, N. Wu, T. Osipowicz, L. K. Bear, and C. Zhu, Formation and thermal stability of Nickel germanide on germanium substrate, Jpn. J. Appl. Phys., Vol. 44, No. 45, 2005, pp. L1389-L1391. [3] S. L. Hsu, C. H. Chien, M. J. Yang, R. H. Huang, C. C. Leu, S. W. Shen, and T. H. Yang, Study of thermal stability of nickel monogermanide on singleand polycrystalline germanium substrates, Appl. Phys. Lett., Vol. 86, 2005, pp. 251906. [4] L. E. Terry, and J. Saltich, Schottky barrier heights of nickel-platinum silicide contacts on n-type Si, Appl. Phys. Lett., Vol. 28, No. 4, 1976, pp. 229-231. [5] H. K. Liou, X. Wu, U. Gennser, V. P. Kesan, S. S. Lyer, K. N, Tu, and E. S. Yang, Interfacial reactions and Schottky barriers of Pt and on epitaxial Si 1-x Ge x alloys, Appl. Phys. Lett., Vol. 60, No. 5, 1992, pp. 577-579. [6] L. J. Jin, K. L. Pey, W. K. Choi, D. A. Antoniadis, E. A. Fitzgerald, and D. Z. Chi, Electrical characterization of platinum and palladium effects in nickel monosilicide/n-si Schottky contacts, Thin Solid Films, Vol. 504, 2006, pp. 149-152. [7] J. D. Chen, H. Y. Yu, M. F. Li, D. L. Kwong, M. J. H. van Dal, J. A. Kittl, A. Lauwers, P. Absil, M. Jurczak, and S. Biesemans, -doped Ni FUSI for the n-mosfets gate electrode application, IEEE Electron Device Lett., Vol. 27, No. 3, 2006, pp. 160-162. [8] W. J. Lee, D. W. Kim, S. Y. Oh, Y. J. Kim, Y. Y. Zhang, Z. Zhong, S. G. Li, S. Y. Jung, I. S. Han, T. K. Gu, T. S. Bae, G. W. Lee, J. S. Wang, and H. D. Lee, Work function variation of Nickel silicide using Ytterbium buffer layer for Schottky barrier MOSFET, J. Appl. Phys., Vol. 101, 2007, pp. 103710. [9] W. Huang, Y. L. Min, G. P. Ru, Y. L. Jiang, X. P. Qu, and B. Z. Li, Effect of erbium interlayer on nickel silicide for formation on Si(100), Applied Surface Science, Vol. 254, 2008, pp. 2120-2123. [10] A. E. J. Lim, R. T. P. Lee, C. H. Tung, S. Tripathy, D. L. Kwong, and Y. C. Yeo, Full silicidation of silicon gate electrodes using Nickel-Terbium alloy for MOSFET applications, J. Electronchem. Soc., Vol. 153, No. 4, 2006, pp. G337-G340. [11] D. Han, Y. Wang, D. Tian, W. Wang, X. Liu, J. Kang, and R. Han, Studies of Ti- and Ni-germanide ISSN: 1790-5117 18 ISBN: 978-960-474-155-7

Schottky contacts on n-ge (100) substrates, Microelectronic Engineering, Vol. 82, 2005, pp. 93-98. [12] E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, S. Forment, and P. Clauws, Deep level transient spectroscopy study of nickel-germanide Schottky barriers on n-type germanium, Appl. Phys. Lett., Vol. 88, 2006, pp. 183506. [13] E. Simoen, K. Opsomer, C. Claeys, K. Maex, C. Detavernier, R. L. Van Meirhaeghe, and P. Clauws, Point-defect generation in Ni-, -, and Pt-germanide Schottky barriers on n-type germanium, J. Electronchem. Soc.,Vol. 154, No. 10, 2007, pp. H857-H861. [14] D. Ikeno, Y. Kaneko, H. Kondo, M. Sakashita, A. Sakai, M. Ogawa, and S. Zaima, Composition dependence of work function in metal (Ni, Pt) -germanide gate electrodes, Jpn. J. Appl. Phys., Vol. 46, No. 4B, 2007, pp. 1865-1869. [15] R. Li, S. J. Lee, H. B. Yao, D. Z. Chi, M. B. Yu, and D. L. Kwong, Pt-germanide Schottky source/drain germanium p-mosfet with HfO 2 gate dielectric and TaN gate electrode, IEEE Elecron Device Lett., Vol. 27, No. 6, 2006, pp. 476-478. [16] K. Ikeda, T. Maeda, and S. Takagi, Characterization of platinum germanide/ge (100) Schottky barrier height for Ge channel metal source/drain MOSFET, Thin Solid Fims, Vol. 508, 2006, pp. 359-362. [17] H. B. Yao, D. Z. Chi, R. Li, S. J. Lee, D. L. Kwong, Effect of the inversion layer on the electrical characterization of Pt germanide/n-ge (001) Schottky contacts, Appl. Phys. Lett., Vol. 89, 2006, pp. 242117. [18]S. Zhu, R. Li, S. J. Lee, M. F. Li, A. Du, J. Singh, C. Zhu, A. Chin, and D. L. Kwong, Germanium pmosfets with Schottky barrier germanide S/D, high-k gate dielectric and metal gate, IEEE Trans. Electron Devices, Vol. 26, No. 2, 2005, pp. 81-83. [19]Y. L. Jiang, G. P. Ru, X. P. Qu, and B. Z. Li, Oxidation suppression for Si 2-x formation and new method to extract Schottky barrier height by admittance measurement, in Ext. Abstr. of the 7 th International Workshop on Junction Technology (IWJT), 2007, pp. 93-98. [20]Y. Y. Zhang, C. J. Choi, J. Oh, I. S. Han, S. G. Li, K. Y. Park, H. S. Shin, G. W. Lee, J. S. Wang, P. Majhi, R. Jammy, and H. D. Lee, Micro-structural innovation of Ni germanide on Ge-on-Si substrate by using Palladium incorporation, Electrochem. Solid State Lett., Vol. 12, No.11, 2009, pp.h402-h404. [21]Y. Y. Zhang, J. Oh, S. G. Li, S. Y. Jung, K. Y. Park, H. S. Shin, G. W. Lee, J. S. Wang, P. Majhi, H. H. Tseng, R. Jammy, T. S. Bae and H. D. Lee, Ni germanide utilizing Ytterbium interlayer for high performance Ge MOSFETs, Electrochem. Solid State Lett., Vol. 12, No.1, 2009, pp.h18-h20. ISSN: 1790-5117 19 ISBN: 978-960-474-155-7