Deep Lithography for Microfabrication

Similar documents
HIGH-ASPECT-RATIO, ULTRATHICK, NEGATIVE-TONE NEAR-UV PHOTORESIST FOR MEMS APPLICATIONS

GLM General information. Technical Datasheet

3D microfabrication with inclined/rotated UV lithography

Uncrosslinked SU-8 as a sacrificial material

micro resist technology

Outline. Introduction to the LIGA Microfabrication Process. What is LIGA? The LIGA Process. Dr. Bruce K. Gale Fundamentals of Microfabrication

micro resist technology

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Fabrication of 3D Microstructures with Single uv Lithography Step

COMPARATIVE ASSESSMENT OF DIFFERENT SACRIFICIAL MATERIALS FOR RELEASING SU-8 STRUCTURES

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Polymer-based Microfabrication

CHARACTERIZATION OF THE FABRICATION PROCESS OF FREE-STANDING SU-8 MICROSTRUCTURES INTEGRATED IN PCBMEMS

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

High aspect ratio tapered hollow metallic microneedle arrays with microfluidic interconnector

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Advanced Polymers And Resists For Nanoimprint Lithography

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Investigation of Residual Stress on SU-8 Films: A Design of Experiments Approach

Photolithography I ( Part 2 )

Alternative MicroFabrication and Applications in Medicine and Biology

MCC. NANO PMMA and Copolymer

Today s Class. Materials for MEMS

Multi-layer SU-8 lift-off technology for microfluidic devices

Inclined lithography and photoresist optimization for fabrication of 3D mesh structures

National Kaohsiung First University of Sci. & Tech. LIGA. MicroSystem Fabrication Lab.

FAQs concerning photoresists from Allresist

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

FABRICATION FOR MICRO PATTERNS OF NICKEL MATRIX DIAMOND COMPOSITES USING THE COMPOSITE ELECTROFORMING AND UV- LITHOGRAPHY

TI 35ES image reversal resist

Supporting Information

Solid State Sensors. Microfabrication 8/22/08 and 8/25/08

Fabrication Technology, Part I

Dry film resist for fast fluidic prototyping

Avatrel Stress Buffer Coatings: Low Stress Passivation and Redistribution Applications

Introduction to Nanoscience and Nanotechnology

Polymer Microfabrication (Part II) Prof. Tianhong Cui, Mechanical Engineering ME 8254

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Soft Lithography: MIMIC. Micro Contact Printing. Microtransfer Molding. Soft Lithography: Replica Molding. Soft Lithography.

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

4. Process Integration: Case Studies

Microelectronic Device Instructional Laboratory. Table of Contents

Toray Electro Coating Material Non- photosensitive Polyimide Semicofine SP-400 Series. Toray Industries Inc.

Francesca Sorba and Cristina Martin-Olmos*

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

2005 Elsevier Science. Reprinted with permission from Elsevier.

Fabrication of Microchannel and Micro Chamber for Microfluidic Lab-on-Chip

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

Photoneece PW-1200 series

Use of SU-8 Negative Photoresist for Optical Mask Manufacturing

Supporting Information

Czochralski Crystal Growth

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France

A simple method for microlens fabrication by the modified LIGA process

UV15: For Fabrication of Polymer Optical Waveguides

FABRICATION OF SWTICHES ON POLYMER-BASED BY HOT EMBOSSING. Chao-Heng Chien, Hui-Min Yu,

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

SU-8 based deep x-ray lithography/liga

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

FABRICATING HIGH CURRENT, HEAVY COPPER PCBS

PRODUCT DESCRIPTION. Ordyl SY 300 could be used for sealing application, due to the capability to be pressed together with a top plate.

Micromechanical testing of SU-8 cantilevers

STRESS IN SU-8 PHOTORESIST FILMS: DOE APPROACH

Photolithography. Dong-Il Dan Cho. Seoul National University Nano/Micro Systems & Controls Laboratory

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

LIGA mold insert fabrication using SU-8 photoresist

Module 13: Soft Lithography. Lecture 19: Soft Lithography 2

Final Project Report. Date Jan. 30 th, To: Kenji Homma, Adaptive Technologies, Blackburgh, Virginia.

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

Low-temperature, Simple and Fast Integration Technique of Microfluidic Chips by using a UV-curable Adhesive

Processing guidelines

Innovation Creativity customer-specific solutions. Product information PHOTORESISTS

Chapter 5 Polymer Micromachining

Mikrosensorer. Microfabrication 1

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

SU-8 Overhanging Structures Using a Photoresist Sacrificial Layer and Embedded Aluminum Mask

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Positive Photoresists

Innovation Creativity Customer-specific solutions. Product information PHOTORESISTS

Thin. Smooth. Diamond.

Thin. Smooth. Diamond.

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

/15/$ IEEE Electronic Components & Technology Conference

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Electronic Supplementary Information

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

CYCLOTENE* 3000 Series Advanced Electronic Resins

AR NEWS 24 nd issue, April 2012

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Simple UV-based Soft-lithography Process for. Fabrication of Low-Loss Polymer PSQ-L-based. Waveguides

Innovation Creativity Customer-specific solutions. Product information PHOTORESISTS

Fabrication of an autonomous surface stress sensor with the polymer SU-8

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Transcription:

Deep Lithography for Microfabrication Part 2: UV Deep Lithography (UVDL) Luiz O. S. Ferreira Mechanical Engineering Faculty Campinas State University UNICAMP Campinas SP - BRAZIL lotavio@fem.unicamp.br PASI BARILOCHE - 2004

Acknowledgments Government LNLS People LNLS staff: Graziele Natal, Angelo Gobbi 2

Presentation Outline Introduction Positive-tone Resists for UDVL Dry films on UDVL Gray tone lithography The SU8 photoresist Inclined lithography Final remarks 3

Introduction Before 1996 Thick resists were used primarily as electroplating mold material. UV lithography on PMMA: < 5 µm thick Positive tone resists: < 50 µm thick Negative tone resists: < 150 µm thick (polyimide) 1996 SU-8 is released After 1996 New applications to UV deep lithography UV-LIGA; HARMST 4

Positive-tone Resists for DUVL Thickness limited by transparency Thickness < 150 µm Aspect-ratio ( 7 15) Easy removal Good as mold for electroplating Bad as structural material 5

Positive-tone Resists for DUVL 100 µm thick film. Spinning tips: Use ramped speed profile Confined spinner chamber helps on thicker films Picture from: V Conedera, B Le Goff and N Frabre, Potentialities of a new positive photoresist for the realization of thick moulds, J. Micromech. Microeng., 9 (1999) 173-175. 6

Gray-tone Lithography - 1 DOSE From: S. Nicolas, E Dufour-Gergam, A Bosseboeuf, T Bourouina, J-P Gilles and J-P Grandchamp, Fabrication of a gray-tone mask and pattern transfer in thick protoresists, J. Micromech. Microeng., 8 (1998) 95-98. 7

Gray-tone Lithography - 2 Gray-tone binary mask. PWM of the light intensity Scale = 200. Constant pitch. 256 levels of gray. An additional reduction of 10X was used to tranfer the 3D pattern from the secondary mask into photoresist. From: S. Nicolas, E Dufour-Gergam, A Bosseboeuf, T Bourouina, J-P Gilles and J-P Grandchamp, Fabrication of a gray-tone mask and pattern transfer in thick protoresists, J. Micromech. Microeng., 8 (1998) 95-98. 8

Negative-tone Resists for DUVL Exceptional transparency Thickness 1000 µm Aspect-ratio: 5-25 Good as mold for electroplating Some resists are good as structural material Dry film and liquid resists. 9

Dry Films on DUVL From PCB industry. 20 µm, 50 µm and other thickness. > 200 µm on multilayer. Laminated on the substrate. Good for electroplating. Easy stripping. From: E. Kukharenka, M M Farooqui, L Grigore, M Kraft and Hollingshead, Electroplating moulds using dry film thick negative photoresist, J. Micromech. Microeng., 13 (2003) S67-S74. 10

Dry Films on DUVL - Structure From: E. Kukharenka, M M Farooqui, L Grigore, M Kraft and Hollingshead, Electroplating moulds using dry film thick negative photoresist, J. Micromech. Microeng., 13 (2003) S67-S74. 11

Dry Films on DUVL - Processing Peeling of the polyethilene film. Lamination to the substrate. Conformation by heating under pressure. UV exposure. Peeling of the polyester film. Development. From: E. Kukharenka, M M Farooqui, L Grigore, M Kraft and Hollingshead, Electroplating moulds using dry film thick negative photoresist, J. Micromech. Microeng., 13 (2003) S67-S74. 12

Dry Films on DUVL - Sample From: E. Kukharenka, M M Farooqui, L Grigore, M Kraft and Hollingshead, Electroplating moulds using dry film thick negative photoresist, J. Micromech. Microeng., 13 (2003) S67-S74. 13

The SU8 Photoresist Negative-tone, high aspect ratio, near UV epoxy based photoresist. Good mechanical, electrical, optical and chemical properties. UV-LIGA From: H Lorenz, M Despont, N Fhrni, N LaBianca, P Renaud and P Vettiger, SU-8: a low-cost negative resist for MEMS, J Micromech. Microeng. 7 (1997) 121-124 14

SU8 - General It was developed by IBM for e-beam lithography. Highly transparent to near UV EPON Resin SU-8, from Shell Chemical, dissolved in an organic solvent (GBL or cyclopentanone) plus a photoinitiator. Chemical amplification by a post-bake. Stripping with hot NMP (1-methyl-2-pyrrolidon), plasma or laser ablation. Hard baked (30 min @ 200 o C): withstands nitric acid, ph 13 NaOH @ 90 o C, etc. From: H Lorenz, M Despont, N Fhrni, N LaBianca, P Renaud and P Vettiger, SU-8: a low-cost negative resist for MEMS, J Micromech. Microeng. 7 (1997) 121-124 15

SU-8 Processing - Spinning Speed Time Low speed for spreading the resist on the substrate. High speed for thinning the resist film. Use ramped speed changes (100-200 rpm/s). 16

SU-8 Processing - Soft-bake Temperature = 95 o C. Tg = 55 o C for unexposed film. Hotplate is preferable to owen. Hotplate must be levelled. 17

SU-8 Processing - Exposure For thick films: Fraction your dose on short exposures and one minute intervals to avoid crust formation. 130 µm thick film: 165 mj/cm 2. 18

SU-8 Processing - Post-bake More crosslinked when heated. Crosslinked film: Tg > 200 o C Cooling cicle: thermal expansion mismatch produces stress. Thermal expansion: SU-8: Si: 21-52 ppm/k 2.36 ppm/k 19

SU-8 Processing Stress - 1 (a) 150 µm (b) 150 µm 20

SU-8 Processing Stress - 2 From: Hyun-Kee Chang and Yong- Kweon Kim, UV-LIGA process for high aspect ratio sructure using stress barrier and C-shaped etch hole, Sensors and Actuators. 84 (2000) 342-350 21

SU-8 Processing Stress - 2 From: Hyun-Kee Chang and Yong-Kweon Kim, UV-LIGA process for high aspect ratio sructure using stress barrier and C-shaped etch hole, Sensors and Actuators. 84 (2000) 342-350 22

SU-8 Processing - Development (for a 130 µm thick film) A) 5 min in pure developer PGMEA (propylene glycol methyl ether acetate) B) Rinse with IPA C) Repeat steps A and B until no white stains remain. WARNING: do not rinse with water. 23

SU-8 Processing - Stripping Lift off : easy. Piranha: (H 2 SO 4 :H 2 O 2 ) Plasma etch Laser ablation 24

SU-8 Processing - Hardbake 30 min @ 200 o C After hardbake it withstands chemicals like nitric acid, KOH, NaOH, acetone, etc. 25

SU8 Properties - Mechanical Modulus of elasticity : E 4.02 GPa - 4.95 +/- 0.42 GPa - 4.4 GPa Bi-axial modulus of elasticity : E/(1-5.18 +/- 0.89 GPa n) Poisson ratio 0.22 postbaked at 95C, SM Film stress blend 19-16 Mpa Max stress 34 Mpa Max sheer 0.009 Plastic domain limit 'no' plastic domain observed Friction coefficient : µ 0.19 From: http://aveclafaux.freeservers.com/su-8.html 26

SU8 as structural material 27

SU8 Properties - Other Aspect ratio: 1:20 and 1:25 typical May be gray-tone lithographed. May be MeV proton lithographed. Adhesion is good but depend on the material. Have good biocompatibility. From: http://aveclafaux.freeservers.com/su-8.html 28

SU-8 Inclined Lithography - 1 TRACE = 100 µm From: Manhee Han, Woonseob Lee, Sung- Keun Lee, Seung S Lee, 3D microfabrication with inclined/rotated UV lihtography, Sensors and Actuators.A 111 (2004) 14-20 29

SU-8 Inclined Lithography - 2 Several inclined exposures TRACE = 200 µm From: Manhee Han, Woonseob Lee, Sung-Keun Lee, Seung S Lee, 3D microfabrication with inclined/rotated UV lihtography, Sensors and Actuators.A 111 (2004) 14-20 30

SU-8 Inclined Lithography - 3 Double inclined exposure. TRACE = 100 µm From: Manhee Han, Woonseob Lee, Sung- Keun Lee, Seung S Lee, 3D microfabrication with inclined/rotated UV lihtography, Sensors and Actuators.A 111 (2004) 14-20 31

SU-8 Inclined Lithography - 4 Inclined and rotated exposure. TRACE = 50 µm From: Manhee Han, Woonseob Lee, Sung- Keun Lee, Seung S Lee, 3D microfabrication with inclined/rotated UV lihtography, Sensors and Actuators.A 111 (2004) 14-20 32

SU-8 Inclined Lithography - 5 Reflected UV exposure. TRACE = 50 µm From: Manhee Han, Woonseob Lee, Sung- Keun Lee, Seung S Lee, 3D microfabrication with inclined/rotated UV lihtography, Sensors and Actuators.A 111 (2004) 14-20 33

Final Remarks Deep lithography is on its beginning. Many resists from industry branchs other than microelectronics have potential for use on deep lithography. Gray tone have good evolution potential. It is interesting mainly to fluidics and photonics. MeV proton looks to be a strong competitor to DXRL. Inclined/rotated lithography may be a real enhancement to actual techniques. 34

The End THANKS FOR ATTENTION. QUESTIONS? 35