Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

Similar documents
Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Alternatives to Aluminium Metallization

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Copper Interconnect Technology

45nm Reliability Issues. Glenn Alers Integration Group Novellus Systems

Effect of impurity on Cu electromigration

Chapter 3 Silicon Device Fabrication Technology

IC Fabrication Technology Part III Devices in Semiconductor Processes

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Development of different copper seed layers with respect to the copper electroplating process

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Overview of Dual Damascene Cu/Low-k Interconnect

Understanding and Reducing Copper Defects

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Electromigration Improvement for Advanced Technology Nodes

1.1 Background Cu Dual Damascene Process and Cu-CMP

RELIABILITY OF MULTI-TERMINAL COPPER DUAL-DAMASCENE INTERCONNECT TREES

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Czochralski Crystal Growth

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Effect of barrier layers on the texture and microstructure of Copper films

Implications of Stress Migration and Voiding in Cu Damascene Interconnections

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Lecture 10. Metallization / Back-end technology (BEOL)

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Cu electroplating in advanced packaging

EECS130 Integrated Circuit Devices

Cu/low κ. Voids, Pits, and Copper

Exam 1 Friday Sept 22

Lecture 22: Integrated circuit fabrication

Microtexture measurement of copper damascene line with EBSD

Advanced Metrology for Copper/Low-k Interconnects

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Technology Drivers for Plasma Prior to Wire Bonding

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

Electromigration behavior of 60 nm dual damascene Cu interconnects

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

Progress in Monolithic III-V/Si and towards processing III-V Devices in Silicon Manufacturing. E.A. (Gene) Fitzgerald

From microelectronics down to nanotechnology.

Thermal Evaporation. Theory

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

SLURRY FORMULATION OPTIONS

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

Make sure the exam paper has 9 pages total (including cover page)

Spectroscopic Ellipsometry Film Metrology Braces for 45nm and Beyond

CMP Applications and Issues Part 3

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Damage mechanics of electromigration in microelectronics copper interconnects. Cemal Basaran* and Minghui Lin

Contents. From microelectronics down to nanotechnology

Contents. From microelectronics down to nanotechnology. Top down nanotechnology. Writing patterns

Chapter 5 Thermal Processes

Lecture Day 2 Deposition

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

Development of Low-resistivity TiN Films using Cat Radical Sources

HBLED packaging is becoming one of the new, high

Interconnects OUTLINE

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

EE 330 Lecture 12. Devices in Semiconductor Processes

Graduate Student Presentations

Test Patterns for Chemical Mechanical Polish Characterization

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Doping and Oxidation

Back End Processing (BEP) Needs Statement

ECSE-6300 IC Fabrication Laboratory Lecture 4: Dielectrics and Poly-Si Deposition. Lecture Outline

n region. But, it is a bit difficult

CSCI 4974 / 6974 Hardware Reverse Engineering. Lecture 5: Fabrication processes

Micron Semiconductor MT4LC16M4H9 64Mbit DRAM

Motorola PC603R Microprocessor

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

Amorphous and Polycrystalline Thin-Film Transistors

IMPACT OF LEAD-FREE COMPONENTS AND TECHNOLOGY SCALING FOR HIGH RELIABILITY APPLICATIONS

Problem 1 Lab Questions ( 20 points total)

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

2006 UPDATE METROLOGY

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

Effect of annealing temperature on the electrical properties of HfAlO thin films. Chun Lia, Zhiwei Heb*

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Transcription:

<<< Back Print Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International The copper damascene process is widely established and has brought higher performance to semiconductor devices. Copper has replaced aluminum because of its lower resistivity and higher reliability, which was expected to be better because of its higher activation energy for diffusion. However, copper still suffers from electromigration (EM) and stress migration (SM) reliability issues (Fig. 1 ) as geometries continue to shrink, and current densities increase. 1-4 Also, interlevel dielectric (ILD) materials change at the 65 and 45 nm technology nodes. At a Glance Electroless cobalt tungsten phosphide (CoWP) development for 65 and 45 nm deployment is underway. Alkali metal-free chemicals and high-throughput production tools for deposition and metrology are now available, enabling CoWP to overcome interconnect reliability obstacles and provide performance improvements. Electromigration and stress migration In the copper damascene process, the copper line is encapsulated on the sides and bottom by barrier metal, and on top by barrier/etch stop dielectric. The copper/ dielectric interface has weaker adhesion than the copper/barrier metal interface, so copper diffusion occurs predominantly at the top surface. Under high current densities, copper atoms move in the direction of the electron flow, and vacancies accumulate in the opposite direction into voids that cause the device to fail (Fig. 2). Attempts to improve copper/dielectric adhesion using various surface treatments prior to dielectric deposition provide some near-term relief, but ultimately this interface must be fundamentally changed, otherwise current densities will be restricted to the low 10 6 A/cm 2 regime. At 65 nm and future technology nodes, this means drive currents must be lower or interconnect linewidths and via sizes larger, making devices bigger, more expensive and slower. http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (1 of 7)1/1/2005 7:29:18 AM

1. As these void samples show, copper still suffers from electromigration and stress migration as geometries continue to shrink. 2. Electromigration void formation can result as a consequence of the electron flow, and cause device failure. Copper lines have different linewidths on different levels. Vias contacting wide lines above or below http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (2 of 7)1/1/2005 7:29:18 AM

experience void formation in the wide lines caused by thermal stress cycling during wafer manufacturing. 5 Vacancies, which are induced during copper grain growth, move along near grain boundaries and weak interfaces, accumulating at low stress points at the narrower vias (Fig. 3 ). Even narrow lines connecting vias can experience stress-induced voiding if the linewidth increases nearby on the same level. 6 3. Grain growth and weak interfaces can create stress-induced void formation in or near vias. CoWP cap Engineers have successfully solved these reliability problems by adding a cobalt tungsten phosphide (CoWP) cap to the copper using a selective electroless deposition process after CMP. 7-12 Electroless CoWP deposition is self-aligned to copper and forms a smooth conformal film (Fig. 4 ). Depending on process conditions, this layer can be amorphous or pseudo-epitaxial to follow the underlying copper grain structure. The film is typically 90% cobalt in nanocrystalline form with 2% tungsten and 8% phosphorus. 13 The tungsten and phosphide stuff the cobalt grain boundaries, while the cobalt forms the majority of the interface to copper, forming metal-metal 4. Electroless CoWP deposition is selfaligned to copper, resulting in smooth conformal films. The SEM and TEM show a CoWP cap on copper. bonds with adhesion energies above 40 J/m 2, compared with metal-dielectric bonds of 10-20 J/m 2. Experimentally, Ta/TaN cap and CVD tungsten metal caps have also demonstrated EM lifetime improvements. Electroless deposition has the advantage of being selective to the copper surface, which avoids an expensive patterning step (wet etch to recess the copper, PVD Ta/TaN, second CMP step to remove the Ta/TaN from the ILD surface). Also, compared with CVD tungsten, selectivity is easier to http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (3 of 7)1/1/2005 7:29:18 AM

maintain using the electroless process. Compared with structures using the conventional dielectric layer alone, EM lifetime improvements of one to two orders of magnitude have been demonstrated using a CoWP cap (Table ). 7-11 Stress migration can also be significantly improved. 7 'Low-k metal' Using a CoWP cap to improve device reliability is a necessity for many chipmakers, while others see it as a way to improve device performance by reducing the effective dielectric constant (k eff ) around the copper lines. The use of a top metal cap that also acts as a copper diffusion barrier allows the dielectric barrier to be eliminated, if its simultaneous use as an etch-stop for the via etch can be obviated. RC time constant reductions of 5-15% are anticipated. 8,11 This can be equivalent to a whole generation of low-k material improvement; e.g., moving from k=2.5 to k=2.1. Key film requirements CoWP thickness requirement is typically in the 100-150 Å range with a within-wafer non-uniformity of <5% 1s and a wafer-to-wafer non-uniformity of <5% 1σ (for such a thin film, this translates to a few monolayers of variability). EM improvement is relatively independent of thickness, while SM improvement scales with thickness. Thickness is usually limited by line-to-line spacing because the CoWP cap grows isotropically on the copper, so beyond the thickness of the barrier metal, further lateral growth would narrow the spacing and potentially increase line-to-line leakage. Process selectivity is checked with line-to-line leakage. Typically, the new process with CoWP cap must pass the same leakage requirement as the dielectric-capped process. This is dependent on the company, technology and process generation. End-to-end resistance change must be 5%. This includes contributions from line and via resistance, from both dimensional changes and CoWP material resistivity. For the line, the addition of the CoWP cap provides a shunt path for the current, which is useful for overcoming current crowding problems at the vias. While selectivity is critical, care must be taken in the CoWP deposition process so as not to impact the copper thickness, which can negatively affect the final line resistance. For the via, resistance change will depend on whether the CoWP layer is retained or etched through during via etch. The former has the advantage of replacing a weaker TaN/Cu interface with a stronger CoWP/Cu one, but also the disadvantage of putting higher-resistivity (albeit very thin) material in the current path. To ameliorate this issue, cap material resistivity is usually required to be <50 µω-cm. http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (4 of 7)1/1/2005 7:29:18 AM

For each device generation, geometries shrink by ~0.7 in linewidth, or about half in cross-sectional area. Since currents remain approximately constant, current density, j, can as much as double, affecting reliability. According to Black's Law, EM lifetime (MTTF) is inversely proportional to j n, where n varies between 1 and 2 depending on the mode of failure (during void formation or void growth). Thus, lifetime can decrease four times for each technology generation, so 4 is usually stated as the minimum lifetime improvement required and more typically 10 is specified. SM lifetime improvement requirement varies by IC manufacturer. Equation 1 When the focus is on performance improvement through k eff reduction, another requirement is for the metal cap to act as a diffusion barrier. The criteria for this can vary widely. A typical method is to test annealed blanket films of cap metal on copper by surface analysis techniques such as Auger, XPS or Surface SIMS to check for copper. Another is to build an MIM capacitor stack with the bottom metal electrode capped, and check the C-V properties after anneal for any copper in the dielectric. Processing requirements Electroless CoWP deposition selectivity requires the surface of the copper and ILD to be very clean. For example, any post-cmp passivation material such as BTA must be removed from the copper surface, and all unwanted foreign matter has to be removed from the ILD surface (including any embedded copper atoms). Sometimes an activation step is used to improve copper's catalytic nature. After CoWP deposition, further surface treatment may be used to prepare for the next step in the integration flow. All told, this may involve two to five chemicals that the hardware should handle in an easily programmable and cost-effective manner. Some of the chemical formulations perform best at high temperatures near their boiling points. For example, film growth incubation time falls below 1 sec above 90 C, which helps achieve thin conformal films with full coverage while also improving throughput. This necessitates that the hardware support this by preventing evaporation losses of volatile chemical components, which would change the solution composition and process performance. The focus in this application is to make the highest-quality interface between the copper and CoWP. Once the copper surface is cleaned it should not be allowed to deteriorate before the CoWP cap is deposited. Conventional plating systems that transfer wafers between chemical baths or spray stations expose the wafers to air. Since each chemical processing step requires different amounts of time, queue times between steps allow the copper surface to oxidize. Partial drying of the wafer surface while waiting can also cause non-uniform CoWP nucleation and film growth. Therefore, the hardware for electroless processes should be designed to have minimal queue time between steps while keeping the wafer surface wet and in a nonoxidizing environment. Electroless deposition relies on the interaction of several components in solution, which should be monitored and adjusted as necessary in real time. Optical methods such as UV-VIS and Raman spectroscopy are preferred because they are non-invasive and can monitor multiple components simultaneously. Chemical analysis methods such as ion chromatography are useful for development, but best performed offline (per http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (5 of 7)1/1/2005 7:29:18 AM

shift qualification, for example) in production. The cost of adding the CoWP layer must be as low as possible. This generally comes down to depreciation and chemical costs, since consumables are few. Depreciation can be minimized if throughput is high. Chemical cost can be reduced over time as per-wafer chemical usage is reduced, and formulations changed to lower-cost alloys. Metrology Measuring a 100 Å thickness with sufficient precision to check 5% non-uniformity with a patterned film is not trivial. For blanket films, the metal cap layer is thin enough to enable spectroscopic ellipsometry to work at the shorter wavelengths. But for patterned wafers, a smaller spot size is required. For ternary alloys, it is also useful to measure film composition simultaneously. For example, P% can affect film stress and the ratio of W% and P% to Co% can affect its copper diffusion barrier property. Electron-stimulated X-ray analysis can yield both thickness and composition information (Fig. 5 ). For closed-loop control, optical methods such as spectrophotometry can be used for integrated thickness monitoring on the deposition system. 5. Simultaneous thickness and composition can be determined through electron-stimulated X- ray analysis. (Source: KLA-Tencor) Summary Development of electroless CoWP technology for 65 and 45 nm deployment is underway at the leading IC companies. Alkali metal-free semiconductor-grade chemicals, and high-throughput production tools for deposition and metrology are now available. CoWP will allow the semiconductor industry to overcome interconnect reliability obstacles and provide performance improvements by alleviating the use of materials that increase k eff of the ILD. http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (6 of 7)1/1/2005 7:29:18 AM

Author Information Bill Lee is director of marketing at Blue29. He has worked with PVD, CVD and etch systems at Applied Materials, and with e-beam metrology systems at Schlumberger. He has an M.S. EECS from the Massachusetts Institute of Technology, and an M.B.A. from Stanford University. E-mail: bill.lee@blue29.com References 1. P. Singer, "Copper Challenges for the 45nm Node," Semiconductor International, May 2004, p. 40. 2. L. Peters, "Low-k Dielectrics Pose New Reliability Concerns," Semiconductor International, February 2003, p. 19. 3. L. Peters, "Exploring Advanced Interconnect Reliability," Semiconductor International, July 2002, p. 63. 4. K.N. Tu., "Recent Advances on Electromigration in Very Large-Scale Integration of Interconnects," Journal of Applied Physics, 2003, Vol. 94, No. 9, p. 5451. 5. T. Oshima, et al., "Suppression of Stress-Induced Voiding in Copper Interconnects," Proc. IEDM, 2002. 6. K. Yoshida, et al., "Stress-Induced Voiding Phenomena for Actual CMOS LSI Interconnects," Proc. IEDM, 2002. 7. T. Ishigami, et al., "High Reliability Cu Interconnection Utilizing a Low Contamination CoWP Capping Layer," Proc. IITC, 2004. 8. P. Moon, et al., "Process Roadmap and Challenges for Metal Barriers," Proc. IEDM, 2003. 9. C.K. Hu, et al., "Reduced Cu Interface Diffusion by CoWP Surface Coating," Microelectronic Engineering, 2003 10. C.K. Hu, et al., "Effects of Overlayers on Electromigration Reliability Improvements for Cu/Low k Interconnects," Proc. IRPS, 2004. 11. T. Ko, et al., "High Performance/Reliability Cu Interconnect with Selective CoWP Cap," Symposium on VLSI Technology, 2003. 12. E.J. O'Sullivan, et al., "Electrolessly Deposited Diffusion Barriers for Microelectronics," IBM Jour. of R&D, 1998, Vol. 42, No. 5, p. 607. 13. A. Kohn, et al., "Characterization of Electroless Deposited Co(W,P) Thin Films for Encapsulation of Copper Metallization," Materials Science and Engineering, 2001, Vol. A302, p. 18. <<< Back Print 2005, Reed Business Information, a division of Reed Elsevier Inc. All Rights Reserved. http://www.reed-electronics.com/semiconductor/index.asp?layout=articleprint&articleid=ca430900 (7 of 7)1/1/2005 7:29:18 AM