EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

Similar documents
CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

Status of EUVL mask development in Europe

Effects of Thin Film Depositions on the EUV mask Flatness

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

EUV Transmission Lens Design and Manufacturing Method

Roadmap in Mask Fab for Particles/Component Performance

EUV Transmission Lens Design and Manufacturing Method

SEMI P10 for Automated Mask Orders

EUV Masks: Remaining challenges for HVM

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

EUV Technology, Martinez, CA

ANALYSIS AND TEST STUDY OF THERMAL DEFORMATION ON A GRID REINFORCED CFRP MIRROR

Because of equipment availability, cost, and time, we will use aluminum as the top side conductor

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Development Status of EUVL Blank and Substrate

EUV Defect Repair Strategy

Seoul National University, San 56-1, Shillim-Dong, Kwanak-Gu, Seoul, Korea,

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide

E-Beam Coating Technology for EUVL Optics

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference

EUVL Mask Defect Strategy

STRENGTH OF PLATES OF RECTANGULAR INDUSTRIAL DUCTS

Introduction to Lithography

More on VLSI Fabrication Technologies. Emanuele Baravelli

How to model Mohr-Coulomb interaction between elements in Abaqus

Application of Controlled Thermal Expansion in Microlamination for the Economical Production of Bulk Microchannel Systems Abstract Introduction

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

BUCKLING ANALYSIS OF PULTRUDED GFRP HOLLOW BOX BEAM

EUV Mask Defect Reduction : Status and Challenges

EE C245 ME C218 Introduction to MEMS Design

SET PROJECT STRUCTURAL ANALYSIS OF A TROUGH MODULE STRUCTURE, IN OPERATION AND EMERGENCY Luca Massidda

FIB mask repair technology for EUV mask 1. INTRODUCTION

BGA Package Underfilm for Autoplacement. Jan Danvir Tom Klosowiak

Lessons Learned from SEMATECH s Nanoimprint Program

Spectrometer gratings based on direct-write e-beam lithography

Deformation and Fatigue Characteristics of Large Welded Bellows with Inclined External Edge*

X-ray optical thin film deposition and analysis capability at NASA MSFC. D. Broadway. 11/8/2017 D. Broadway NASA MSFC 1

Fabrication Technology, Part I

Thermo-Mechanical Reliability Assessment of TSV Die Stacks by Finite Element Analysis

Thermal Nanoimprinting Basics

Telescope Structure and Kinematics

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

TEST AND ANALYSIS OF A SHORT-SPAN RAILWAY BRIDGE

Advanced Polymers And Resists For Nanoimprint Lithography

Measurement of thickness of native silicon dioxide with a scanning electron microscope

RE-EXAMINATION OF NIST ACOUSTIC EMISSION SENSOR CALIBRATION: Part I Modeling the loading from glass capillary fracture

EUV optics lifetime Radiation damage, contamination, and oxidation

High-accuracy laser mask repair technology using ps UV solid state laser

MAE Advanced Computer Aided Design. 03. Beams and Trusses. Solution of Beams and Trusses Problems

EUV Products and Business Opportunity

EUV Products and Business Opportunity

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

Low-cost, deterministic quasi-periodic photonic structures for light trapping in thin film silicon solar cells

Lithography options for the 32nm half pitch node. imec

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

The Effect of Film Thickness on Coated Glass Response under Spherical Impact

THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA

BOROFLOAT 33 & Functional Coatings: A Union of Inspiration & Quality

TOWARDS BETTER FINITE ELEMENT MODELLING OF ELASTIC RECOVERY IN SHEET METAL FORMING OF ADVANCED HIGH STRENGTH STEEL

FEM models of composite timber-lightweight concrete floor systems

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

LASER GALVO SCANNING MIRRORS OPTOSIC SIC OPTICS FOR HIGH-END LASER PROCESSES

Fracture Toughness of Cellular Solids using Finite Element Based Micromechanics

Progress in EUV blanks development at HOYA

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

3 Dimensional Structural Modelling of Segmental Tunnel Lining Using Finite Element Software

Thermal Symposium August Minteq International, Inc. Pyrogenics Group A Thermal Management Solution for State-of-the-Art Electronics

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Laser speckle measurements and numerical simulations of the deformation of masonry loaded in compression

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

AlSiC for Optoelectronic Thermal Management and Packaging Designs

FINITE ELEMENT ANALYSIS OF THERMAL TENSIONING TECHNIQUES MITIGATING WELD BUCKLING DISTORTION

Analysis of Jointed Plain Concrete Pavement Containing RAP

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Photomask Fabrication: Designing of Test Structure

Design Progress and Analysis for ITER Thermal Shield

Performance and Optimization of Annular Fins by Using Finite Element Analysis

Advanced Sheet-to-Sheet and Roll-to-Roll thin-film processing on ultra-thin flexible glass for flexible electronic devices

Experimental and finite element simulation of formability and failures in multilayered tubular components

Effect of Chip Dimension and Substrate Thickness on the Solder Joint Reliability of Plastic Ball Grid Array Packages* S.-W. Lee, J.H.

CHAPTER 3 FINITE ELEMENT SIMULATION OF WELDING

Simulation Analysis of Laser Shock Forming for TA2 Titanium Sheet. Based on ABAQUS

CHAPTER 7 FINITE ELEMENT ANALYSIS

At wavelength characterization of EUV and soft X-ray gratings

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Numerical simulation of wood filled impact limiter with LS-DYNA

Supplementary Figures

Design of Integrated Light Guiding Plates Using Silicon-based Micro-Features

Design for Plastic Ball Grid Array Solder Joint Reliability. S.-W. R. Lee, J. H. Lau*

PVP Proceedings of the ASME 2014 Pressure Vessels & Piping Conference PVP2014 July 20-24, 2014, Anaheim, California, USA

IN-SITU ULTRASONIC CHARACTERIZATION OF FAILURE STRENGTH OF FIBER-MATRIX INTERFACE IN METAL MATRIX COMPOSITES REINFORCED

Transcription:

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools Eric Cotte a *, Uwe Dersch a, Christian Holfeld a, Uwe Mickan b, Holger Seitz c, Thomas Leutbecher c, and Günter Hess c a Advanced Mask Technology Center (AMTC), Technology Development Dept., Rähnitzer Allee 9, 119 Dresden (Germany) b ASML, De Run 111, 553 LA Veldhoven (The Netherlands) c SCHOTT Lithotec AG, Jerusalemer Str. 13, 98617 Meiningen (Germany) ABSTRACT Due to the non-telecentricity of the EUV illumination, the EUV mask flatness budget dictates the use of an electrostatic chuck in the exposure tool. Since the mask backside flattening provided by the electrostatic chuck in the exposure tool is very different from the 3-point mounts currently employed to hold reticles in pattern generation and registration measurement tools, this raises the question of which mounting techniques to apply in future patterning and registration tools. In case drastic changes need to be made to the tool configurations, it is important to know, and as early as possible, whether backside chucking of reticles, via an electrostatic or vacuum chuck, is absolutely required or if a 3- point mounting scheme can suffice in these tools. Using finite element simulations, the effects on EUV mask image placement of stressed layers and their patterning, as well as substrate and chuck non-flatness were predicted for these different conditions. The results can be used to calculate image placement error budgets and determine what substrate and blank specifications are needed for the implementation of EUV at the 32-nm node. Keywords: EUV, image placement, chucking, photomask, stressed layer. 1. INTRODUCTION For the successful insertion of EUV at the 32-nm node or below, image placement errors due to using different reticle mounts in various tools are a concern. Current optical reticles are supported on three points in patterning and registration tools, but are vacuum-chucked on two strips in exposure tools. As reticles are held horizontally, and thus subjected to gravity, the differences between the reticle mounts in these tools can lead to different in-plane distortions of the photomask, i.e., image placement errors during printing. 1 EUV reticles will need to be electrostatically chucked on a portion of their backside, referred to as quality area (QA), in exposure tools. 2,3 This also differs from the way reticles are mounted in current patterning and registration tools, which may lead to reconsider the reticle mounting strategy in these tools. Finite element (FE) modeling is a powerful method to answer this type of questions before electrostatic chucks and EUV reticles satisfying tight flatness specifications are available. 4-8 In this paper, the influence on image placement of the reticle mount for each tool, the EUV substrate and exposure chuck non-flatness, pattern formation on the mask, as well as substrate thickness, elastic modulus, coefficient of thermal expansion and edge length tolerances were taken into account. For all simulations, the blank stack illustrated in Fig. 1, the design shown in Fig. 2, and a substrate shape with a variable magnitude of non-flatness scaled from Fig. 3 were considered. The non-flatness magnitude was varied between nm and 24 nm in the parametric studies. The structure of the paper is as follows: Section 2 focuses on distortions measurable in a registration tool, whereas Section 3 deals with exposure chucking effects and their combination with other effects. Section 4 consists of an assessment of mask image placement for two alternative EUV mask stacks, two reticle mounting strategies, and finally an error budget calculation for a comparison to the ITRS specifications. 9 *email: Eric.Cotte@amtc-dresden.com

TaN absorber 7 nm to 8 nm thick Frontside Surface Mo/Si multilayer (4 to 5 bilayers) NZTE Substrate Cr backside layer 6 nm thick Backside Surface Fig. 1. EUV stack considered, featuring a multilayer, absorber layer, and conductive backside coating. 132 mm Quality Area 1% TaN removal 14 mm 75% TaN removal 5% TaN removal 2% TaN removal Image Field 142 mm Fig. 2. EUV design employed in the patterning model. -1 -.5.5 y 1-1 x -.5 Map units: nm 5.5 1 ) m p (n a M d re n L ege -15-1 -5-5 -1 5-15 Fig. 3. EUV substrate backside shape over the quality area used in the simulations. Peak-to-valley non-flatness of 24 nm shown for illustrative purposes.

2. INFLUENCE OF MASK TOLERANCES AND PATTERNING The first calculation that was run pertained to a EUV mask held in an e-beam pattern generation (PG) tool. In this tool, as in a registration tool, the mask is subjected to gravity and rests on three points, as shown in Fig. 4. Since the mask sag induced by gravity is repeatable, the in-plane distortions (IPD) that accompany the sag can be numerically corrected to consider the mask as unconstrained. What the tools can not compensate for are the mask-to-mask variations that could cause gravity to induce different mask sags due to the tolerances in the substrate specifications on edge length, elastic modulus, and coefficient of thermal expansion (CTE). The effects on IPD of these tolerances were assessed, and a local CTE distribution as on Fig. 5 was assumed, as well as a maximum temperature variation of ±2 C between the wafer fab temperature and the exposure tool temperature. The resulting mask pattern in-plane displacements for a ¼- inch thick near zero thermal expansion (NZTE) substrate, such as ULE, are listed in Table 1. The case of a class A substrate is illustrated, and thermal distortions would be proportional for class B, C, or D substrates. Y Y X X... (a) (b) Fig. 4. Boundary conditions for a mask in (a) pattern generation and (b) registration tools. +5 ppb/ C -5 ppb/ C -5 ppb/ C +5 ppb/ C Fig. 5. Local distribution of CTE on a substrate of class A. Table 1. Effects of mask tolerances on reticle-level in-plane displacements. Mean value and tolerance IPD error Substrate edge length ±.1 mm ±.1 nm Substrate thickness 6.35 mm ±.1 mm ±.6 nm ULE elastic modulus 67.6 GPa ± 1.2 GPa ±.6 nm NZTE substrate CTE ± 5 ppb/ C for T = ± 2 C ± 1.6 nm

Then, simulations were run to predict the reticle-level IPD in the image field (of size 14 mm 132 mm) due to the patterning and etching of the design in Fig. 2, for a mask held in a registration tool, as a function of the TaN absorber stress. Stress values ranging from MPa to 9 MPa compressive were considered. The results are reported in Fig. 6 with and without magnification correction. Two main types of magnification correction are available: iso(tropic), which linearly corrects image placement with a same factor in two orthogonal directions, and ortho(tropic), which uses two different correction factors for two orthogonal directions. Note that ortho does not refer to orthogonality correction. 7 6 5 4 3 2 1 Uncorrected IPD -9-75 -6-45 -3-15 TaN absorber stress (MPa) Fig. 6. Mask image field IPD FE results for the patterning of the design in Fig. 2. 3. MASK EXPOSURE CHUCKING EFFECTS Next, the electrostatic chucking a mask with a 6 nm peak-to-valley (PV) backside non-flatness over its quality area was modeled, and Fig. 7 reports the reticle-level image field IPD, with and without magnification correction, produced by chucking with a 15 kpa pressure, without patterning effects. An unconstrained mask with the same shape is used as the IPD reference grid. 8 7 6 5 4 3 2 1 Uncorrected IPD -9-75 -6-45 -3-15 TaN absorber stress (MPa) Fig. 7. Chucking without patterning IPD FE results for a 6 nm PV substrate backside non-flatness over the QA.

The uncorrected IPD is large, approximately 5 nm for the chucking of a mask with a stress-free absorber and a 6-nm PV substrate non-flatness over the quality area. Applying magnification correction removes a radial or elliptical component to the IPD, which virtually compensates for stress-induced displacements caused by to the multilayer and backside layer depositions, as well as a portion of the IPD due to the non-flatness of the substrate. Using isotropic or orthotropic magnification correction, image field mask IPD drops to the range of 4 nm to 5 nm. To investigate the dependence of IPD on substrate non-flatness, numerical models were run for a mask with a 3 MPa compressive absorber stress and a substrate backside shape ranging from nm to 24 nm, by scaling the shape depicted in Fig. 3. The FE results, shown in Fig. 8, illustrate a linear relation between PV substrate non-flatness over the QA and IPD, and there is very little difference between distortions after application of isotropic or orthotropic corrections. Then, simulations were performed, modeling the effects of both electrostatic chucking and patterning, to compare the image placement error thus obtained to that predicted via the addition of the IPD calculated for patterning only, in Section 2, and for chucking only, above. The FE results of IPD as a function of absorber stress and as a function of substrate backside PV non-flatness are featured in Figs. 9 and 1, respectively. 14 12 1 8 6 4 2 5 1 15 2 25 NZTE substrate backside bow (nm) Fig. 8. Chucking without patterning IPD FE results for a 3 MPa compressive absorber stress. 7 6 5 4 3 2 1-9 -75-6 -45-3 -15 TaN absorber stress (MPa) Fig. 9. Chucking and patterning IPD FE results for a 6-nm PV substrate backside non-flatness over the QA.

The values of IPD due to patterning and electrostatic chucking are close to these of chucking alone. More precisely, the results in Fig. 9 are roughly equal, within.5 nm, to the square root of the sum of the squares of the results shown in Figs. 6 and 7. Similarly, the results in Fig. 1 are equal, within.5 nm, to the square root of the sum of the squares of the results in Fig. 6 for a 3 MPa compressive absorber stress and in Fig. 8. 14 12 1 8 6 4 2 5 1 15 2 25 NZTE substrate backside bow (nm) Fig. 1. Chucking and patterning IPD FE results for a 3 MPa compressive absorber stress. 4. COMPARISON TO REQUIREMENTS As-chucked mask IPD was compared for different mounting schemes in the PG tool: the IPD corresponding to the usage of a 3-point mount in the e-beam writer, which was already reported in Section 3 (Fig. 9), and the IPD corresponding to the usage of quality area backside chucking, for which results are shown in Fig. 11. 4, 3,5 3, 2,5 2, 1,5 1,,5, Uncorrected IPD -9-75 -6-45 -3-15 TaN absorber stress (MPa) Fig. 11. Chucking and patterning IPD FE results for the case of QA backside chucking in pattern generation and exposure tools. These results are valid for any substrate backside non-flatness, as long as the chucks in both tools have the same flatness.

It can be seen that the IPD thus obtained is smaller than that determined in Section 3, as well as that calculated in Section 2 for patterning effects in the case where the reticle is held in a 3-point mount in both the e-beam writer and the registration tool. Nonetheless, it must be noted that the results in Fig. 11 do not account for any placement error caused by differences in non-flatness between the chucks in the PG and in the exposure tool. Such distortions can be evaluated using the results in Figs. 7 and 8. Comparing the image placement errors in Figs. 9 and 11 yields that using a backside chucking scheme in all tools reduces IPD by 3.5 nm when employing mask substrates with 6 nm QA PV backside nonflatness. The results in Fig. 8 suggest that the IPD due to using a 3-point mount in the pattern generation tool would be linear with respect to the mask substrate backside PV non-flatness. In order to reduce the IPD associated to a standard reticle mount in the PG tool, an alternative mask stack was considered, as illustrated in Fig. 12. The proposed modification, referred to as backside compensation, would be the addition of an extra backside layer, which would help minimize the stress-induced bow of the EUV blank (though not necessarily of the patterned mask). The thickness of this layer would be adjusted with respect to the absorber, multilayer, and Cr backside layer stresses and thicknesses. Although this would not be as efficient as reducing the substrate non-flatness, it would lead to an improvement in IPD compared to that in Fig. 1, as illustrated by the FE simulation results shown in Fig. 13 for the case of a 3 MPa compressive TaN absorber stress. A further advantage would be that it would also lead to a large reduction of the uncorrected IPD, which would minimize the risk of numerical errors during magnification correction. TaN absorber 7 nm to 8 nm thick Frontside Surface Mo/Si multilayer (4 to 5 bilayers) TaN backside layer adjusted for blank flattening NZTE Substrate Cr backside layer 6 nm thick Backside Surface Fig. 12. Alternative stack considered, with an additional bow-compensation layer on the backside. 3 25 2 15 1 5 Uncorrected IPD 5 1 15 2 25 NZTE substrate backside bow (nm) Fig. 13. Chucking and patterning IPD FE results for a 3 MPa compressive TaN absorber stress, when using a standard 3-point mount in the pattern generation tool and a backside bow-compensation layer.

Having investigated the effects on mask IPD of substrate backside and chuck non-flatness, stress-induced blank bow, patterning of a specific design, tolerances on substrate dimensions and material properties, as well as different stacks and patterning tool reticle mounting conditions, a summary of the magnitude of these distortions is given in Table 2. The IPD listed is the orthotropically-corrected image placement error. In particular, Table 2 also illustrates that reducing the absorber stress and the substrate backside non-flatness would both lead to better image placement. Table 2. Summary of causes of mask-level in-plane displacements and corresponding orthotropically-corrected IPD. Mounting scheme in PG tool Substrate backside and chuck PV non-flatness over the quality area 3-pt mount + gravity acting, with gravity compensation ±3.5 nm for each ±3 nm PV shape or ±2.7 nm for each ±3 nm PV shape, with backside compensation Full backside chucking over QA ±2.7 nm for each ±3 nm PV chuck-to-chuck non-flatness Blank bow from stressed layers Accounted for above No effect Patterning & etching deformation ±1.2 nm for each 3 MPa of stress ±.7 nm for each 3 MPa of stress Substrate thickness tolerance ±.6 nm for ±.1 mm No effect NZTE elastic modulus tolerance ±.6 nm for ±1.2 GPa No effect Substrate CTE non-uniformity ±1.6 nm for ± 5 ppb/ C and T = ±2 C ±1.6 nm for ± 5 ppb/ C and T = ±2 C It must be noted that these results pertain to the specific stack composition, design, and substrate backside non-flatness illustrated in Figs. 1, 2, and 3, respectively. However, as these inputs are representative of an actual EUV mask, or, for some of them, a worst-case, the order of magnitudes listed in Table 2 can be used for EUV mask image placement error budget considerations. Using Table 2, an overall image placement error for the effects listed can be calculated as the square root of the sum of the squared contributions. Furthermore, a full error budget can be obtained by adding to the results of the present paper the contribution due to the accuracy of the e-beam pattern generation tools envisioned for the 32 nm node. The accuracy of such tools can be estimated at around 5. nm, and the image placement required on a reticle after magnification corrections is 8. nm, according to the latest ITRS documents. 9 For example, Table 3 lists the error budget assessments for three cases, all of which consider the use of a 3-point mount in the pattern generation tool. Table 3. Examples of error budget calculations and comparison to ITRS reticle-level image placement requirements. Contribution Patterning tool Substrate dimensional tolerance Patterning and etching deformation Substrate PV non-flatness Summation ITRS requirement Cases Considered, using a 3-point mount in the PG tool 9 MPa TaN stress 6 MPa TaN stress 3 MPa TaN stress 18 nm PV backside 12 nm PV backside 6 nm PV backside ± 5. nm ± 5. nm ± 5. nm ±.6 nm ±.6 nm ±.6 nm ± 3.6 nm ± 2.4 nm ± 1.2 nm ± 8.1 nm ± 5.4 nm ± 2.7 nm ± 1.2 nm ± 7.8 nm ± 5.8 nm ± 8. nm

In particular, as illustrated in Table 3, considering a EUV blank with a 6 nm PV substrate backside non-flatness, an absorber stress value of 3 MPa compressive, and taking into account substrate dimensional tolerances, a maximum image placement error smaller than 6 nm is obtained, illustrating the feasibility of the insertion of EUV at the 32-nm node using standard patterning and registration tools. 5. SUMMARY AND CONCLUSIONS Using finite element simulations, the influence on EUV mask image placement of stressed layers and their patterning, as well as substrate and chuck non-flatness were predicted for different reticle mounting configurations: a 3-point mount, as in current pattern generation and registration tools, and a backside chuck, as in EUV exposure tools. First, the influence of substrate material and dimension tolerances were investigated for a mask held in a 3-point mount. Then, the effects on image placement of EUV substrates and exposure chucks non-flatness were calculated for masks with a chucked quality area. The contribution of pattern formation was subsequently added and it was demonstrated that a statistical addition of image placement errors was valid. Finally, two mask mounting strategies in the pattern generation and registration tools were evaluated, and it was shown that standardizing quality area backside chucking would reduce distortions. Furthermore, an alternative mask stack was proposed to help meet the image placement requirements. These results were finally used to ascertain that the image placement error budget could be met for the insertion of EUV lithography at the 32-nm node while still using standard 3-point mask mounting techniques in mask house tools. ACKNOWLEDGMENTS The main author would like to thank Frank-Michael Kamm, Christian Lutzenberger, and Susanne Lehner for fruitful discussions on related topics. AMTC is a joint venture of Infineon, AMD and DuPont Photomasks and gratefully acknowledges the financial support of the German Federal Ministry of Education and Research (BMBF) under contract No. 1M3154A ( Abbildungsmethodiken für nanoelektrische Bauelemente ). REFERENCES 1. A. R. Mikkelson, A. Y. Amr, E. P. Cotte, J. Sohn, R. L. Engelstad, and E. G. Lovell, Mask-related distortions of modified fused silica reticles for 157-nm lithography, BACUS Symposium on Photomask Technology and Management, SPIE Vol. 4562, pp. 914-925, 21. 2. SEMI P37 112 Specification for extreme ultraviolet lithography mask substrates. 3. SEMI P4 113 Specification for mounting requirements and alignment reference locations for extreme ultraviolet lithography mask. 4. P. Reu, R. O. Tejeda, R. L. Engelstad, E. G. Lovell, and A. K. Ray-Chaudhuri, Predicting pattern transfer distortions during EUV mask fabrication, Emerging Lithographic Technologies IV, SPIE Vol. 3997, pp. 84-847, 2. 5. A. Mikkelson, R. L. Engelstad, and E. G. Lovell, Determination of image placement accuracy due to EUV mask fabrication procedures, Microelectronic Engineering, Vol. 61-62, pp. 251-255, 21. 6. C. Martin, A. R. Mikkelson, R. O. Tejeda, R. L. Engelstad, E. G. Lovell, K. L. Blaedel, and A. A. Claudet Mechanical modeling of the reticle and chuck for EUV lithography, Emerging Lithographic Technologies VI, SPIE Vol. 4688, pp. 194-24, 22. 7. A. Mikkelson, R. Engelstad, E. Lovell, K. Blaedel, and A. Claudet, EUVL mask flatness and electrostatic chucking analysis, Journal of Vacuum Science and Technology B, Vol. 21, No. 6, pp. 391-396, 23. 8. L. Zheng, A. R. Mikkelson, A. Y. Abdo, R. L. Engelstad, E. G. Lovell, and T. J. White Optimization of EUV reticle thickness for image placement accuracy, BACUS Symposium on Photomask Technology, SPIE Vol. 5256, pp. 573-584, 23. 9. International Technology Roadmap for Semiconductors, 24 edition, http://public.itrs.net