Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

Similar documents
CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

EUV optics lifetime Radiation damage, contamination, and oxidation

FIB mask repair technology for EUV mask 1. INTRODUCTION

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

EUV Mask Defect Reduction : Status and Challenges

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

Development Status of EUVL Blank and Substrate

Roadmap in Mask Fab for Particles/Component Performance

Mask Substrate/Blank Cleaning Progress Challenges

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Effects of Thin Film Depositions on the EUV mask Flatness

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Progress in EUV blanks development at HOYA

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

Status and Challenges in EUV Mask Cleaning

Control technology of EUV Optics Contamination:

Pattern Dependent Satellite Defects in Via Lithography

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

Fabrication Technology, Part I

Low energy electron bombardment induced surface contamination of Ru mirrors

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

EUV Masks: Remaining challenges for HVM

Supporting Information for

RUTHENIUM CAPPING LAYER PRESERVATION FOR 100X CLEAN THROUGH PH DRIVEN EFFECTS

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

UHF-ECR Plasma Etching System for Gate Electrode Processing

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Lecture 22: Integrated circuit fabrication

Introduction to Nanoscience and Nanotechnology

Lessons Learned from SEMATECH s Nanoimprint Program

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Key Technologies for Next Generation EUV Lithography

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

HBLED packaging is becoming one of the new, high

EECS130 Integrated Circuit Devices

2005 ANNUAL REPORT 2005 ANNUAL REPORT

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Heat-Resistant Thin Optical Fiber for Sensing in High-Temperature Environments

Oxidation Reactions. This oxide will from only if thermodynamics favour a reaction of the form: M + O 2 = MO 2. Which must form rapidly (favourable(

Optimizing spray coater process parameters

Optical and Physical Characteristics of EUV Phase Shift Masks

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Improving material-specific dispense processes for low-defect coatings

Choi, Jun-Hyuk Korea Institute of Machinery & Materials

Fabrication Technology

Simple Cubic Crystal

X-ray Photoelectron Spectroscopy

PEAK EFFICIENCIES WITH FALLING MANUFACTURING COSTS

Microbridge reduction in negative tone imaging at photoresist pointof-use

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Overview of Dual Damascene Cu/Low-k Interconnect

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Advanced Polymers And Resists For Nanoimprint Lithography

Water Vapor and Carbon Nanotubes

Chapter 3 CMOS processing technology

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

SiO 5 COATING SYSTEM FOR CARBON/CARBON COMPOSITES

AAO MCP Substrate Development at ANL. High Energy Physics Division, Materials Science Division Argonne National Laboratory Friday, June 11, 2010

Area-selective atomic layer deposition for self-aligned fabrication

Laser-Crystallised Thin-Film Polycrystalline Silicon Solar Cells. Jonathon Dore SPREE Research Seminar - 27th June, 2013

EUV Products and Business Opportunity

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Platypus Gold Coated Substrates. Bringing Science to the Surface

EUV Products and Business Opportunity

Synthesis of diamond-like carbon films with super-low friction and wear properties

FORMATION OF TiO 2 THIN FILM BY ION-BEAM-MIXING METHOD AND ITS APPLICATION AS THE CORROSION PROTECTING FILM

9-11 April 2008 Micro-electroforming Metallic Bipolar Electrodes for Mini-DMFC Stacks

More on VLSI Fabrication Technologies. Emanuele Baravelli

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

is kept at a minimum with low power densities of less than 50 mw/cm 2.

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

EUV Technology, Martinez, CA

Lifetime Enhancement and Low-Cost Technology Development for High-Efficiency Manufacturable Silicon Solar Cells. A. Rohatgi, V. Yelundur, J.

IMRE/ETPL Flagship Project

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Atomic Layer Deposition(ALD)

Remote Plasma Source Chamber Anodization

Thin. Smooth. Diamond.

Lecture Day 2 Deposition

Ruthenium Oxide Films Prepared by Reactive Biased Target Sputtering

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Thin. Smooth. Diamond.

1.1 Background Cu Dual Damascene Process and Cu-CMP

ALD Film Characterization Rachel Brown 5/13/14

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

(12) Patent Application Publication (10) Pub. No.: US 2006/ A1

TED PELLA, INC. Microscopy Products for Science and Industry

Photoresist and Process Issues on 193nm Immersion Lithography

Transcription:

-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening Jaehyuck Choi* a, Jinsu Kim a, Jeff Lowe b, Davide Dattilo c, Soowan Koh a, Jun Yeol Choi a, Uwe Dietze c, Tsutomu Shoki d, Byung Gook Kim a, Chan-Uk Jeon a, a Samsung Electronics Co., Ltd, Samsungjeonja-ro, Hwaseong-si, Gyeonggi-do 445-330 Korea; b Intermolecular Inc., 30 North First Street, San Jose, CA 9534 USA; c SUSS MicroTec Lithography GmbH, Schleisheimer Strase 90, 85748 Garching Germany; d HOYA Corporation, 2-7-5 Naka-Ochiai, Shinjuku-ku, Tokyo 6-8525 Japan ABSTRACT EUV masks include many different layers of various materials rarely used in optical masks, and each layer of material has a particular role in enhancing the performance of EUV lithography. Therefore, it is crucial to understand how the mask quality and patterning performance can change during mask fabrication, EUV exposure, maintenance cleaning, shipping, or storage. SPM (Sulfuric acid peroxide mixture) which has been extensively used for acid cleaning of photomask and wafer has serious drawback for EUV mask cleaning. It shows severe film loss of tantalum-based absorber layers and limited removal efficiency of EUV-generated carbon contaminants on EUV mask surface. Here, we introduce such novel cleaning chemicals developed for EUV mask as almost film loss free for various layers of the mask and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies. It is still important to obtain film-loss free cleaning chemicals because cleaning cycle of EUV mask should be much faster than that of optic mask mainly due to EUV pellicle lifetime. More frequent cleaning, combined with the adoption of new materials for EUV masks, necessitates that mask manufacturers closely examine the performance change of EUV masks during cleaning process. We have investigated EUV mask quality changes and film losses during 50 cleaning cycles using new chemicals as well as particle and carbon contaminant removal characteristics. We have observed that the performance of new chemicals developed is superior to current SPM or relevant cleaning chemicals for EUV mask cleaning and EUV mask lifetime elongation. Keywords: EUV mask cleaning, film loss, SPM, new chemicals, combinatorial chemical screening, lifetime elongation * Corresponding author. INTRODUCTION. Conventional EUV cleaning processes For the patterning on wafer plane using 3.5nm wavelength-light reflected from EUV mask, EUV mask structure consists of repeated alternating multi-stacks of Si and Mo deposited on Qz-based substrate. Ruthenium capping layer on multi-stacking layers is supposed to protect EUV multi-layers from possible degradation during mask fabrication, EUV exposure, storage, or maintaining processes. Tantalum-based layer deposited on ruthenium surface is working as absorbing medium of EUV light. Since such materials have never been used in mask technology, it is important for mask shops to secure appropriate cleaning processes for EUV mask. The possibility that EUV pellicle may not be applied to EUV lithography can lead to abrupt increase of cleaning cycles of EUV mask, which is addressing that mask film losses during cleaning process have to be properly maintained within minimal specifications. Photomask Technology 205, edited by Naoya Hayashi, Bryan S. Kasprowicz, Proc. of SPIE Vol. 9635, 96350C 205 SPIE CCC code: 0277-786X/5/$8 doi: 0.7/2.297226 Proc. of SPIE Vol. 9635 96350C- Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

Cleaning POR based on SPM (mixture of sulfuric acid, peroxide, and DIW) is known to cause severe film loss of tantalum-based EUV absorber and ARC layers, which can limit cleaning cycles and lifetime of EUV mask 2. Carbon contaminants created on EUV mask surface during EUV exposure are composed of highly packed atomic or molecular segments of C or H and are nearly removed by SPM chemical. This is because SPM molecules are not effectively penetrating into bulk structure of contaminants to react with their inner surfaces and finally decompose them 2. Ta -based Absorber Absorber layer after SPM cleaning Reaction with SPM chemicals SPM m um Absorber CD reduction l 80 0 40 30 Dark CD 29.26nm 30nmPon-expdse ------------ ---"" 30nmexpos 00nm non -expos Dark CD99.66nm --- `ter 00nm exp used Initial 0 CLN 0 ADT 20 CLN 20 ADT 30 CLN Process (a) Figure. (a) Schematic description of EUV absorber pattern etching by SPM chemicals, (b) Absorber CD changes after cleaning and ADT (Alpha demo tool, EUV exposure tool) exposure cycles (b) Active oxygen or hydroxyl species from In-situ UV module (MaskTrack Pro by SUSS Microtec), on the other hand, can effectively decompose carbon contaminants on mask surface. Their small radicals are able to diffuse into the contaminant structures and then oxidize carbonous components into gaseous species. Cleaning POR based on active oxygen or hydroxyl species ends up with much smaller effects on EUV absorber CDs (critical dimensions) compared to SPM process 3, 4. Such effective cleaning mediums, however, turn out to trigger serious damage on ruthenium capping layer after several cleaning cycles made. initial Ru damage procedure Peeling (({SUV``{S{ ({ (()y({s Y ` UV Pit by M Y RuO,IRuO,,M (a) Figure 2. (a) SEM (left) and TEM images (right) of ruthenium damage triggered by In-situ UV cleaning process, respectively, (b) Schematic drawing of probable mechanism of ruthenium damage by In-situ UV process, which is well described by S.Y. Lee et al 4. (b).2 EUV pellicle and corresponding carbon contaminant Recently, there have been many activities for the development of EUV pellicle, driven by ASML and core EUV scanner customer companies 5. It is still important to obtain film-loss free cleaning chemicals because EUV mask is expected to be cleaned more frequently than optic EUV owing to shorter lifetime of EUV pellicle. Diffusion of hydrogen gas molecules inserted to remove carbon contaminants on EUV mask surface can be impeded by EUV pellicle mounted on it. This implies that EUV mask with pellicle might be subject to more severe carbon contamination and thus more frequent cycles of cleaning process compared to EUV mask without pellicle. Proc. of SPIE Vol. 9635 96350C-2 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

(a) (b) Figure 3. (a) Schematic drawing of EUV mask without EUV pellicle where carbon contaminants are exposed to atomic hydrogen, (b) EUV mask with EUV pellicle mounted where diffusion of hydrogen molecules is impeded by EUV pellicle so that carbon, if created, might be hardly removed by atomic hydrogen. In this paper, we introduce how cleaning chemical developments are planned, approached, and completed to achieve EUV mask film loss free and superior carbon removal performance. Combinatorial chemical screening methods allowed us to screen several hundred combinations of various chemistries and additives under several different process conditions of temperature and time, eventually leading to development of the best chemistry selections for EUV mask cleaning. 2. CHEMICAL DEVELOPMENT STRATEGY 2. Chemical development approach Mask cleaning basically consists of acidic and alkali cleaning processes. Acidic cleaning mainly done by acid chemicals is for organic removal and surface pre-treatment prior to particle removal by alkali cleaning. Acid chemicals (e.g. SPM chemical) have high oxidation power towards most materials, especially metallic compounds although they are very good at organic contaminant removal. EUV mask structure consists of more components of metallic compounds than optic mask so that it can lead to more severe film loss under normal acidic cleaning process. On the contrary, base (or alkali) chemicals still have capability to remove organic contaminants while they are less aggressive against metallic components. Base chemicals (strong, weak base, and solvent chemicals) instead of acid chemicals are chosen as chemical candidates for acidic cleaning of EUV mask. Certain additive chemicals are added to mitigate mask film loss and assist particle removal on EUV mask (Figure 4). Additive chemical (I) is mainly for particle removal and film loss reduction and additive chemical (II) is for film loss reduction. Various concentrations of each base or additive chemicals are tested under several different temperatures to select the best chemistry formulations for EUV mask cleaning through combinatorial screening methods. Organic removal Zeta potential Particle removal Parameters Final formulation Strong base Additive A" Concentration Base B C - Base A Additive D" - Base B Additive B" - Base C..9 * Additive A" CL - Additive C, CH ** - Base C Additive C" Additive D" CL Weak base Temperature - Base D Temp. 4 - Base E - Base F Solvent * Base concentration : Ci<Ci<C,C,,<CCs<Cs<C, <Cg<C, - Solvent A' ** Additive concentration : Cc < Ca - Solvent B'...Temperature : Ti < T2< T3 < Ta Figure 4. Overall chemical development strategy towards new chemical development for EUV mask cleaning Proc. of SPIE Vol. 9635 96350C-3 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

2.2 Chemical screening scheme & procedure Selected formulations of each chemical component are tested for organic removal efficiency as a first step of chemical development. Since organic removal is the key requirement of acidic cleaning process, any developed chemicals can be useless if they cannot remove organic contaminants on EUV mask no matter how small their EUV mask film losses are. Formulations survived after organic removal stage are then examined for their removal power of carbon contaminants on EUV mask. Carbon contaminants created on EUV mask surface during EUV exposure are composed of highly packed atomic or molecular segments of carbon or hydrogen 6. Carbon contaminants are extremely difficult to be cleaned out even by chemicals which can perfectly remove photo resist residues. Chemistries which get through carbon contaminant removal are now screened from the perspectives of EUV mask film loss. Chemical attacks on Tantalum-based absorber (pattern -CD change and ARC layer thickness change) and ruthenium capping layers (ruthenium thickness, surface roughness, EUV reflectivity) are fully investigated. Finally, chemical formulations passed through every screening stages are then evaluated under multiple cycles of massproduction cleaning process using real mask cleaning equipment for semi-mass production test. Temperature : Ty < Ty < T3 < Te @T2,r3 CI II Base + solvent Additive I x conc. Additive II x conc. @ Tr 68 formulations @ T4 68 formulations Final chemical combinations Total 456 chemical formulation combinations, 776 screenings Organic removal screening screening screening Figure 5. Chemical screening scheme and procedure applied for the development of EUV mask cleaning chemicals 2.3 HPC (High Productivity Combinatorial ) screening by Intermolecular A large number of chemical formulations were screened efficiently by way of Intermolecular s HPC (High Productivity Combinatorial technology) (456 formulations and 50 screenings). Using Intermolecular patented wet screening equipment, Tempus F-20 which can run 64 experiments on each EUV mask enables significant learning and successful development while minimizing development time and cost (Figure 6). Rapid exploration of right chemistries, chemical compositions and process conditions was really possible through this smart methodology. Many combinations of various compositions of base chemicals as well as additive chemicals associated with several process conditions (time, temperature, etc.) were explored via HPC screening and appropriated metrology. 3. EXPERIMENT 3. Organic removal screening For the evaluation of organic contaminant removal, positive-tone photoresist coated EUV blank masks were used as test samples. Each solution of chemical formulations is supplied individually through the cell mounted on mask samples and stayed inside the cell for 5 minutes (refer to delivery cell image in Figure 6). Three different temperatures (T < T 2 <T 3) were used for each formulations. After organic removal tests are done, each sample is examined under optical microscope to determine removal efficiency of each chemical combination. Removal efficiencies are graded by from 0 to Proc. of SPIE Vol. 9635 96350C-4 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

5 (0 is perfect removal, 5 is no removal), depending on remaining residue amounts on each cells. Each cell is perfectly sealed by elastomer sealing on the contact with mask so that interaction between chemicals in each cell is perfectly prohibited. a A chemical library is prepared and delivered to site - isolated cells I 32x Processed Sample 50-5 - 52- ap S3 S+S2 5+53 5+54 5+59 5+53 52+83 S2+S4 S3+S4 M0 Z 53+S9 INTERMOLECULAR 5-2 52- S+S2 S3+S9 S4+S9 S2+S3 S+S9 S3 S0 S4+S9 S3+S4 S+S4 82-2 S2+S4 50-8, Figure 6. Intermolecular s HPC (High Productivity Combinatorial) Tempus F-20, delivery cells of Tempus F-20, and 32X processed cells on EUV mask sample 3.2 screening It is not tedious to prepare EUV carbon contaminated samples because EUV source commercially available up to this moment is not strong enough to create carbon contaminants on EUV mask surface (except NXE EUV scanner sources). Main mechanism of carbon contaminant generation is hydrocarbon cracking into atomic segments of C and H by high energy, followed by packing of those segments into closely packed high density structures 6. High energy used for hydrocarbon cracking is provided by direct EUV exposure and secondary electron generated from underlying multilayers upon EUV photon bombardment. Among them secondary electron is known to be a major trigger for carbon generation on EUV mask. When EUV mask is exposed to electron beams of high energy, it turns out that carbon contaminants are also created on EUV mask surface and those structures and properties are very similar to EUV carbons. Based on this, we applied electron beams to EUV mask surface to generate carbon contaminants. By varying exposure conditions (voltage, atmosphere, time, etc.), it is possible to prepare several degrees of carbon contaminants (easy, medium, hard to be removed). We confirmed that each degree of carbon samples show sustaining removal performance under same cleaning chemicals. For the target of this evaluation, we set removal of easy carbon as target of this screening stage. 3.3 screening For the evaluation of EUV mask film loss (absorber, anti-reflection, capping layer), each solution of chemical formulations is supplied individually through the cell mounted on mask samples and stayed inside the cell for 50 minutes (equivalent to 30 cycles of cleaning). Fresh chemical solutions are provided at each 30 minutes in order to maintain chemical concentration near to original value. Absorber pattern CDs and thickness changes of ARC (antireflection coating) and ruthenium capping layers are measured using CD SEM and OCD tools, respectively. EUV reflectivity was measured by EUV reflectometer. Ruthenium surface roughness was measured using AFM tools. Every measurement were done by Samsung mask shop except AFM measurement done by Intermolecular. Proc. of SPIE Vol. 9635 96350C-5 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

All EUV blanks used for this development (HPC screening test including organic & carbon removal and film loss screening stage, semi-mass production test) were kindly provided by HOYA Corporation (Japan). 3.4 Semi-mass production test Two formulations of cleaning chemicals finally selected through HPC screening were prepared and purified (as test grade) at Dong-woo FineChem (Korea) and then provided to SUSS Microtec for semi-mass production test. Cleaning of each mask (patterned or blank masks) was done by SUSS Microtec mask cleaner and test cleaning process is equivalent to normal mass production cleaning process. Each chemical was inserted into acid cleaning process while other processes and chemicals are same compared to conventional SPM or In-situ UV cleaning processes. For film loss measurement, 50 cycles of cleaning process were applied to each mask sample at process temperature T 3 and T 4, respectively. Then tested samples were shipped to Samsung for the measurement of CD SEM, OCD, EUVR, and particle inspection, etc. For the measurement of carbon removal efficiency, particle removal efficiency, particle adder by chemicals, cycle of cleaning process was applied to each mask sample. For the preparation of PRE test mask samples, each mask was contaminated by solution of SiN particles whose concentration is known. Tested mask samples were shipped to Samsung for the inspection of EUV mask samples. YYYY Cleaning Co plete Residual ion Rem val Cleanliness Surf ce Pre ration o Organic(PR) Y Removal Particle R oval Y Megasonic cleaning with Diluted SC @ RT - 6min Formulation I,II @ T3. T4-6min Figure 7. Cleaning process with insertion of new chemical candidates for semi-mass production test 4. RESULT AND DISCUSSION 4. Organic Removal Screening Results Number of 456 chemical formulations went through 50 screenings, among which 68 formulation have passed though organic removal stage screening (Figure 8). For the evaluation of organic contaminant removal, positive-tone photoresist coated EUV blank masks were used as test samples. It is proven that organic resist removal is mainly dependent on base chemicals rather than solvent or additive chemicals. Basicity (or ph values) and concentrations of each base chemicals are crucial for organic removal since hydroxyl ions (OH-) are decomposing organic contaminants by way of dehydrogenation mechanism. Stronger bases or higher concentrations of each base chemicals exhibit better removal efficiencies of organic resist contaminants on EUV mask surface. 4.2 Carbon Removal Screening Results We have applied 68 chemical combinations screened from previous organic removal test for the evaluation of carbon removal on EUV mask. Carbon samples were fabricated by exposing EUV mask to electron beams under certain atmospheres until carbon contaminants are generated on it. Several degrees of carbon contaminants (easy, medium, or hard to be removed) can be prepared by varying exposure conditions (voltage, atmosphere, time, etc.). It is revealed that none of those chemical formulations are able to remove carbon contaminant at room temperature under given process conditions. So we increased process temperature from room temperature (T ) to higher temperature (T 4) to boost carbon removal power of each chemical although larger film loss at higher temperature is expected. Proc. of SPIE Vol. 9635 96350C-6 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

Formulation Conc Result Image Base A Cl 5 Base only Base B Base C CI 0 Ba,e D 4 Base + Additive I Base + Additive I + Additive II Base + soly. Base + soly. + Additive Base B - Additive A" CCe ` Base D - Additive A" C[ 2 Base B + Additive A" + Additive D" Base C + Additive B" Additive C" Base D + Solvent A' Base D + Solvent A' Base D + Solvent A' + Additive A" Base D + Solvent A' + Additive C" C. CI CI C, CL C, Ca C6 C7 C6 C7 C6 C C9 CCH 0 0 3 2 3 Figure 8. Microscopic images of organic removal by various chemical formulations. Removal efficiencies are graded by from 0 to 5 (0 is perfect removal, 5 is no removal), depending on remaining residue amounts on each cells. As can be seen Figure 9 (a), carbon removal performance improves at higher temperature of T 4 for some chemical formulations. Base chemical B shows better removal powers than chemical C, cleaning up to hard carbons as base chemical B is only used. On the other hand, carbon removal performance is deteriorated when additive chemicals are added and even further with increasing additive concentrations. It is expected that additive molecules adsorb on carbon contaminants, blocking the interaction between carbon contaminants and hydroxyl ions to reduce dehydrogenation power of hydroxyl ions (Figure 9 (b)). Base only Base Additive A" Base * Additive, Additive D- (fiz CJ Base only Base Additive A" Base Additive, Additive D- (foa CJ In base chemical Additi ad orponn rbon interrut ba-e rb re.ction. - Base concentration: Cs <C2 <C3<C4 <Cs <C6 <C7 <Ce <C9/ Additive concentration : C< < CH - Temperature : Ti < T2 < Ta < T4 Mask surface + + + + (a) Figure 9. (a) SEM review images of carbon removal by various chemical combinations at temperature of T4, (b) Schematic drawing of mechanism that additive chemicals deteriorate carbon removal efficiency of hydroxyl ions by encapsulating carbon contaminants (b) Proc. of SPIE Vol. 9635 96350C-7 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

4.3 Screening Results As a first step, EUV mask film loss (absorber, anti-reflection, capping layer) was examines at room temperature (T ). Patterned EUV masks were treated by each chemical combination for 50 min (equivalent to 30 cycles of cleaning process), followed by corresponding measurements. It is shown that most combinations are able to meet EUV mask film loss specs (absorber pattern -CD, ARC thickness, ruthenium capping thickness and surface roughness, etc.). Due to poor carbon removal efficiency at lower temperature, it was necessary to check film loss at higher temperature where carbon can be properly cleaned by selected chemical formulations. Tantalum-based absorber pattern CD dimensions were measured at temperature T 4, before and after mask samples are treated by each chemical formulation. As expected, -CD (CD dimension changes) increases as temperature or base chemical concentration increases (Figure 0, left). Interestingly, additive chemical D is very effective in reducing CD loss while additive A or B has limited functionality (Figure 0 middle & right). Additive chemical B is not working as a surface protector and additive chemical A is beneficial only when its concentration is low. It is believed that instinct chemical properties of each additive chemical differentiate their protection capability, which may need further investigation. ocd(nm) 30 25 20 5 Base B Base C ocd(nm) 30 25 Base B Base C ocd(nm) 20 20 5.3 Additive D" effective 5 - 'im loss reduction 5 30 25 Additive A" Additive B" 20.08 Additive B" not effective, stive ' e ective r i m oss reduction in low concentration 0 0 0 5 0 C3 C4 C5 C6 Base Conc. w/o Additive D" w/ Additive D "ICL) CL CH CL CH - Base concentration : Cl <C2 <C3 <C4 <C5 <C6 <C7 <C8<C9/ Additive concentration : CL< CH Basc B Basc C (a) (b) (c) Figure. 0. Absorber CD change (a) As function of base chemical type and its concentration., (b) Effect of additive D, (c) Effect of Additive A or B in base chemical. CD change result of (c) is when additive A or B is added to base chemical B or C while additive D has been already included. Among 65 chemical combinations evaluated from -CD change perspective, the combination of base chemical B (concentration C 5) + Additive chemical A (concentration C L) + Additive chemical D (concentration C L) comes up with the smallest CD reduction (dark CD reduction of.68nm). The amount of CD change significantly reduces as appropriate additives are blended with base chemical B (Figure ). Severe damage on ruthenium capping layer excludes formulation of base chemical C from chemical selections although they shows very small CD reduction (most cases with base chemical C show ruthenium damage on EUV patterned mask). o v Base B Cs+ Additive A" C,+ Additive D- C Figure. SEM review images of EUV mask absorber CD changes before and after treated by various chemical combinations 6,, 6 C onl: Additive A" C+ Additive D" C BaseCC, - Additive B" C + Additive D" C Proc. of SPIE Vol. 9635 96350C-8 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

Then we have conducted ruthenium compatibility study with those chemical formulations. Additive chemical A or B shows effective reduction of ruthenium surface roughness when they are added into base chemical B or C (Figure 2 (a)). Ruthenium surface roughness decreases by 2.5nm and 5nm as additive chemical A and B is added to base chemical B, respectively. Similarly, ruthenium layer thickness etching is reduced by 0.6nm when additive chemical B or C is added to base chemical B. When additive chemicals are added into base chemical B, EUV reflectivity becomes more stable. Such characteristics are very similar when additive chemical D is added into base chemical B (Figure 2 (b)). From these investigations, it can be remarked that additives chemicals (A, B or D ) are very effective in protecting ruthenium surface from chemical interactions of base chemical B. Meanwhile, base chemical C shows very huge physical damages on ruthenium layers (as shown in previous SEM images of Figure ) and such behavior is not properly inhibited simply by adding additive chemicals. RMS(nm) Roughness 25 Additive A" or B" with base B 20 makes less Ru damage ATHK(nm) Thickness Additive A" or B" with base B makes less Ru damage L EUVR( %) EUVR EUVR increase @ additive A" or B" in base B 5 d 7.5 None (Additive AlAdditive B" None Additive A" Additive B" -0.9-0.3-0.3 t L a None Additive A"IAdditive B" Base 8 Base C Base B -0.5 None AdditiveInAdditive B" Base C 0 0 -.8 None Additive A "IAddidveB Base B None i''3.9!additive A"jAddidvaB BaseC (a) RMS(nm) Roughness z THK(nm) Thickness 25 Additive D" with base B 20 makes less Ru damage Additive D" with base B makes less Ru damage LEUVR( %) EUVR 5 EUVR increase @ ed 5 o o -0.B -0.B 30 5 2.5 3-0.9 w -0.75-0.7 _5 0-5 w/o Additive D. w/ Additive D. w/o Additive WI w/ Additive D".2 w/o Additive D" w/ Additive D. w/o Additive D" w/ Additive D. 0 w/o Additive D" w/ Additive D. w/o Additive D" w/ Additive D. Base B Base C Base B Base C Base Base (b) Figure 2. Ruthenium capping layer compatibility results (surface roughness, thickness, EUV reflectivity): (a) when additive chemical I (A, B ) is added, (b) when additive chemical II (D ) is added into base chemical B or C Most chemical formulations produce very severe surface roughness on ruthenium capping layer when they are processed at high temperature. Especially, ruthenium surface is very prone to surface etching when base chemical B is used by itself at such a high temperature (Figure 3 (a)). When additive chemical D is added, ruthenium surface roughness dramatically reduces from 5.270nm (RMS value) to 0.62nm as seen from Figure 3. This is mainly because additive chemical molecules are effectively protecting ruthenium surface from hydroxyl ion attack activated by high temperature. It is interesting to note that base chemical B shows the most satisfactory surface etching profiles on ruthenium when it is used with additive chemical D and A. It is not clear why certain combinations of base and additive chemicals show the smallest surface roughness profiles. passivating effects of additive chemical D is not working with base chemical C as discussed previously. It is assumed that molecular structure or interaction function (attraction-repulsion) of additive chemical D do not properly impede excessive approaches of hydroxyl ions (from stronger basicity of base chemical C) towards ruthenium surface. Proc. of SPIE Vol. 9635 96350C-9 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

Base B C5 only Base B C5 + Additive D" CL + Additive A" CL Base B C5 + Additive D" CL + Additive A" CH.5.0 RMS 5.270nm,., o RMS 0.62nm RMS 0.78nm _0.50 5.00 (a) (b) (c) Figure 3. Ruthenium capping layer RMS roughness images by using AFM for chemical formulation of (a) base B (C5) only, of (b) base B (C5) + additive D (CL) + additive A (CL), and of (c) base B (C5) + additive D (CL) + additive A (CH) 4.4 Semi-mass production test We have conducted 776 combinatorial screenings from perspectives of organic removal, carbon contaminant removal, and EUV mask film losses to eventually find out the optimum chemical formulations for EUV mask cleaning. The best combination is formulation (I) [base chemical B (concentration of C 5) + Additive chemical A" (concentration of C L) + Additive chemical D" (concentration of C L)] and the second best one is formulation (II) [base chemical B (concentration of C 5) + Additive chemical A" (concentration of C H) + Additive chemical D" (concentration of C L)]. Then, we completed semi-mass production test by applying those combinations to real mask cleaning process. Table.. Ruthenium capping layer roughness results by various chemical formulations No. Base B Base C Additve A" Additive B" Additive D" Roughness(nm) C5 CL Cc 0.62 2 C5 CH CL 0.78 3 C5 CH CL 0.25 4 C5 CL C 0.265 0 Cs CH 0.474 6 C5 CL 0.694 7 C5 CL 0.870 23 C5 CH.077 32 Cs 5.237 C3 CL C 0.480 2 C3 CH 0.587 5 C3 CL 0.683 2 C3 CH 0.982 25 C3 C.477 26 C3 CH C[.672 28 C3 C CL.944 3 C3 3.020 Proc. of SPIE Vol. 9635 96350C-0 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

For semi-mass production test, each EUV mask samples went through mask cleaning process identical to real mass production using MaskTrack mask cleaner of SUSS-Microtec equipped with formulated chemical solutions. Cleaning process recipe consists of acid cleaning and alkali cleaning where SPM chemical of acid cleaning is replaced by formulation (I) or formulation (II) at process temperature T 3 and T 4, respectively. Each EUV mask was cleaned by 50 cycles of cleaning process and then any variations on their film properties were measured by appropriate metrology (CD SEM, OCD, EUV reflectometer). SiN deposited blank masks were cleaned by one cycle of cleaning process and then their PREs (particle removal efficiency) were measured by inspection. EUV mask with carbon contaminated both on absorber and capping layers was cleaned by one cycle of cleaning process and then examined through SEM review whether carbon was removed by each chemical formulation. For the reference, SPM chemical was run as acid cleaning chemical, following same cleaning process recipe. Each cleaning tests are summarized in Table 2 as below. It is confirmed that chemical formulation (I) satisfies every target specifications for EUV mask cleaning (Table 3). It shows very reliable EUV mask film loss characteristics: absorber CD change of 0.02nm, ruthenium thickness change of 0.003nm, ARC thickness change of 0.008nm, and EUV reflectivity change of 0.004% per each cycle of cleaning applied. Particle removal efficiency is good enough as 9% (72% from first evaluation turns out affected by contaminated particles during mask moving and transfer) and adders by chemicals are negligible. performance by formulation (I) was also satisfactory since it can remove easy carbon contaminant, which is better than SPM and similar to In-situ UV process performances. Table. 2. Chemical information and evaluation conditions for Semi-mass production test Chemical Formulation Temp. Item Mask info. SPM Formulation I Formulation II HZSO4+HZOZ 20 C Particle removal Chemical contamination Base B C5 + Additive A" C + Additive D" C T4 Particle removal Base B C5 + Additive A" C5 + Additive D" C5 Base B C5 + Additive A" CH + Additive D" C5 Base B C5 + Additive A" CH + Additive D" Cc T3 T4 T3 Chemical contamination Particle removal Chemical contamination Particle removal Chemical contamination Particle removal MI Chemical contamination ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask ML 40pair EUV patterned mask E -Beam carbon on EUV mask SiN particle on Qz blank mask Cr blank mask Cleaning acceleration 50 50 50 50 50 Remark POR chemical Best result - from screening test 2nd Best result - from screening test Same tests were done at temperature T 3, a little lower than T 4. Most film loss results are excellent especially with tremendous pattern CD outcomes (almost no change!). As expected, capability to remove carbon contaminant is significantly reduced at lower temperature. It proves again temperature is playing a pivotal role in removal of carbon contaminant on EUV mask by activating hydroxyl ion movement and interaction with contaminants. If there is any ways to provide external energies to carbonous molecules (rather than hydroxyl ions) and thus excite them to more activated Proc. of SPIE Vol. 9635 96350C- Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx

and unstable state which can be further prone to interaction with hydroxyl ions, it may possible to achieve high efficiency of carbon removal even at lower temperature and eventually EUV mask film loss-free cleaning performance. For the case of chemical formulation (II), not only cleaning performances but also film losses felt short of target specifications, as shown in Table 3. Surplus amounts of additive chemical A molecules are believed to formulate micelle structures by themselves rather than adsorb on EUV mask surface or encase contaminants (carbon or SiN particles). Therefore, they can neither protect the mask surface from hydroxyl ion attack nor assist contaminant removal from mask surface. It is worth mentioning that performance of cleaning chemicals can be altered simply by changing amount of additive chemicals (only difference between formulation (I) and (II) is amount of additive chemical A ). Table. 3. Semi-mass production test result summary Ru thickness(nm) DOCDVCLN) Abs. thickness(nm) AEUVR(%) VCLN) ASEM CDVCLN) L/S(nm) CNT(nm) st PRE( %) 2nd PRE( %) Carbon Removal After cleaning adder @Cr sub. Ref. SPM 0.005 0.006 0.023 0.30 0.30 NG NG Poor < 5ea Formulation I Ta 0.003 0.008 0.004 0.020 0.04 Formulation I T3 72% 9% 7%'9% Normal Poor < 5ea < 5ea Formulation II Ta 0.002 0.07 0.003 0.040 0.025 0% Poor < 5ea Formulation II T3 0.000 0.09 0.08 0.076 0.032 0% Poor < 5ea 5. CONCLUSION We have developed new cleaning chemicals which can be utilized for EUV mask cleaning by way of smart and powerful HPC screening methods. EUV mask film loss control is getting extremely important since it is highly expected to go over 50 up to 00 cleaning cycles even with or without EUV pellicle. With film loss maintained within specifications, superior cleaning efficiencies of carbon contaminants and particles need to be achieved. Such developed chemicals can be beneficial for the maintenance of EUV masks within tight specifications and elongation of their lifetime until they are used for mass production. Through this methodology, it is possible to design approach strategies, plan screening processes, and execute rapid explorations for appropriate chemical developments. It is also possible to learn more precise roles of each component and process parameter within limited development time and cost which can be hardly achieved by conventional screening methods. REFERENCES [] Shoki, T. et al. Improvement of total quality on EUV mask blanks toward volume production, Proc. SPIE 7636 (200). [2] Choi, JH. et al. "Effect of SPM-based cleaning POR on EUV mask performance." SPIE Photomask Technology. International Society for Optics and Photonics (20) [3] Lee, HS. et al. "Chemical Improvement of Euv Ruthenium Capping Layer Against Active Oxygen and Hydroxyl Radicals." ECS Transactions 58.6, 93-00(203) [4] Lee, SY. et al. "Durability of Ru-based EUV masks and the improvement." SPIE Advanced Lithography. International Society for Optics and Photonics (204) [5] Carmen, Z. et al. "Progress on EUV pellicle development." SPIE Advanced Lithography. International Society for Optics and Photonics (204) [6] Hollenshead, J. and Klebanoff, L. Modeling radiation-induced carbon contamination of extreme ultraviolet optics, J. Vac. Sci. Technol. B 24, (2006). Proc. of SPIE Vol. 9635 96350C-2 Downloaded From: http://proceedings.spiedigitallibrary.org/ on 0/29/205 Terms of Use: http://spiedigitallibrary.org/ss/termsofuse.aspx