FIB mask repair technology for EUV mask 1. INTRODUCTION

Similar documents
Nanoscale Imaging, Material Removal and Deposition for Fabrication of Cutting-edge Semiconductor Devices

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

EUV Mask Defect Reduction : Status and Challenges

EUV Masks: Remaining challenges for HVM. Christian Bürgel (AMTC), Markus Bender (AMTC), Pawitter Mangat (GLOBALFOUNDRIES)

Roadmap in Mask Fab for Particles/Component Performance

Effects of Thin Film Depositions on the EUV mask Flatness

Progress in EUV blanks development at HOYA

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Half-pitch 15-nm metal wire circuit fabricated using directed self-assembly of PS-b-PMMA

Introduction to Lithography

Semiconductor Device Fabrication

Control technology of EUV Optics Contamination:

Optical and Physical Characteristics of EUV Phase Shift Masks

EECS130 Integrated Circuit Devices

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Supporting Information for

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

EUVL Mask Defect Strategy

Chapter 3 Silicon Device Fabrication Technology

More on VLSI Fabrication Technologies. Emanuele Baravelli

Micro-Nano Fabrication Research

UHF-ECR Plasma Etching System for Gate Electrode Processing

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

Study of ADI (After Develop Inspection) On Photo Resist Wafers Using Electron Beam (II)

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Microtexture measurement of copper damascene line with EBSD

Key Technologies for Next Generation EUV Lithography

EUV Defect Repair Strategy

Development Status of EUVL Blank and Substrate

Copper Interconnect Technology

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV Transmission Lens Design and Manufacturing Method

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

High-accuracy laser mask repair technology using ps UV solid state laser

Fabrication Technology, Part I

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Fabrication and Layout

Lecture 22: Integrated circuit fabrication

2008 Summer School on Spin Transfer Torque

Schematic creation of MOS field effect transistor.

Synthesis of diamond-like carbon films with super-low friction and wear properties

EUVL Activities in Korea. Jinho Ahn Professor, Hanyang University Director, National Research Foundation of Korea

Academia and Research Institute -Hanyang Univ.: strongest activities on Mask/Pellicle/Cleaning/Process Simulation -SKKU, Inha Univ., KAIST etc.

Etching Mask Properties of Diamond-Like Carbon Films

EUV Transmission Lens Design and Manufacturing Method

Improvement of Laser Fuse Processing of Fine Pitch Link Structures for Advanced Memory Designs

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

PLASMONIC STRUCTURES IN PMMA RESIST

Silicon Microparticle Ejection Using Mist-jet Technology

Inductively Coupled Plasma Etching of Pb(Zr x Ti 1 x )O 3 Thin Films in Cl 2 /C 2 F 6 /Ar and HBr/Ar Plasmas

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

O2 Plasma Damage and Dielectric Recoveries to Patterned CDO Low-k Dielectrics

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

Physical Vapor Deposition (PVD) Zheng Yang

In situ TEM Characterization of Shear Stress-Induced Interlayer. Sliding in the Cross Section View of Molybdenum Disulfide

Low energy electron bombardment induced surface contamination of Ru mirrors

CALTECH CONFERENCE ON VLSI, January 1979

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Formation of High-quality Aluminum Oxide under Ion Beam Irradiation

Australian Journal of Basic and Applied Sciences. Utilization of Oxygen Plasma For Plasma Ashing and Etching Process

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

EUV Masks: Remaining challenges for HVM

Introduction to Nanoscience and Nanotechnology

Study of Ion Beam Sputtering using Different Materials

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

The integrated EUV Mask Process at the Advanced Mask Technology Centre (AMTC) in Dresden

Lessons Learned from SEMATECH s Nanoimprint Program

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Fabrication and STM Nanostructuring of tetrahedral amorphous Carbon. Teja Roch

Semiconductor Technology

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

NIL defect performance toward High volume mass production

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Impact of New MoSi Mask Compositions on Processing and Repair

Lecture #18 Fabrication OUTLINE

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Technology process. It s very small world. Electronics and Microelectronics AE4B34EM. Why is the integration so beneficial?

Ultra High Barrier Coatings by PECVD

Area-selective atomic layer deposition for self-aligned fabrication

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

CMOS FABRICATION. n WELL PROCESS

Imprint Lithography: Getting to the Next Level

Residual stress analysis of SiO films deposited by

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Imprint lithography for curved cross-sectional structure using replicated Ni mold

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

Status of EUVL mask development in Europe

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

Transcription:

FIB mask repair technology for EUV mask Tsuyoshi Amano*, Yasushi Nishiyama*, iroyuki Shigemura*, Tsuneo Terasawa*, Osamu Suga*, Kensuke Shiina**, Fumio Aramaki**, Anto Yasaka** Tsukasa Abe***, iroshi Mohri*** * MIRAI-Semiconductor Leading Edge Technologies, Inc. (Japan) ** SII NanoTechnology Inc. (Japan) *** Dai Nippon Printing Co., Ltd. 16-1 Onogawa, Tsukuba-shi, Ibaraki-ken, 35-8569 Phone: +81-29-849-1479 Fax: +81-29-849-1218 e-mail:amano.tsuyoshi@selete.co.jp ABSTRACT We evaluated a FIB-CVD (Focused Ion Beam-Chemical Vapor Deposition) process for repairing clear defects on EUV masks. For the CVD film, we selected Carbon material. Our simulation result showed that the properties of wafer-prints depended on the density of the carbon films deposited for repairing the clear defects. Especially, when the density of carbon film was higher than that of graphite the properties of the wafer-prints came out to be almost same as obtained from Ta-based absorbers. For CVD, in this work we employed typical carbon based precursor that has been routinely used for repairing photomask patterns. The defects created for our evaluation were line-cut defects in a hp225nm L/S pattern. The performance of defect repair was evaluated by SFET (Small Field Exposure Tool) printability test. The study showed that the focus characteristic of repaired region deteriorated as the thickness of the deposition film decreased, especially when the thickness went below the thickness of the absorber. owever, when the deposition film thickness was same as that of the absorber film, focus characteristic was found to be excellent. The study also revealed that wafer-print CDs could be controlled by controlling the CDs of the deposition films. The durability of deposition films against the buffer layer etching process and hydrogen radical cleaning process is also discussed. Keywords: EUV, mask, repair, FIB, chemical vapor deposition, SFET 1. INTRODUCTION Extreme ultraviolet lithography (EUVL) is a leading candidate for ULSI device fabrication and is expected to replace 193-i technology. owever, EUVL still has many challenges to be met e.g., light source power, particle-free mask shipping and handling, development of resist material, defect-free blanks and masks etc. [1], [2], [3], [4]. The feature size requirements for EUVL generation masks are considerably smaller than those for optical lithography. As the mask feature sizes continue to shrink with new technology nodes, the specifications for pattern defect inspection [5], [6], [7], and defect repair [8], [9], [], [11] become more demanding [12], [13], [14]. There have been many papers on the subject of repairing defects on EUV mask pattern but few of them addressed clear type defects [15], [16], [17], [18], [19]. A study of repairing clear defect requires in-depth understanding of light shielding capability, and of process durability of depositing materials. According to an earlier report, some carbon materials were cited to be useful for deposition materials [14], but there has been no report on their applications for mask repair, or on the cleaning durability of deposited films. In case of EUV mask with a buffer layer, the buffer layer has to be removed by dry etching process after a mask pattern can be repaired. This etching process is a special process for EUV mask where the depositing material film is required to exhibit etching process durability. In addition, cleaning durability is also required for deposited film. But, contaminations like SEM burn-marks, which consist of carbon based materials, are likely to adhere to all over the EUV exposed area during high volume chip manufacturing. ence the process for the cleaning of deposited films is required to demonstrate a high degree of cleaning selectivity over the contaminations. Photomask and Next-Generation Lithography Mask Technology XVI, edited by Kunihiro osono Proc. of SPIE Vol. 7379, 73792L 29 SPIE CCC code: 277-786X/9/$18 doi:.1117/12.824335 Proc. of SPIE Vol. 7379 73792L-1

2.1 Simulation condition 2. EXPERIMENTAL CONDITION The parameters for the simulations were set up as for SFET conditions as follows: NA=.3 (Central obscuration 3%), sigma=.3/.7 (inner/ outer), magnification= 5:1, incident angle= 6 deg. and wavelength= 13.5 nm. The evaluation patterns were hp45 nm L/S on wafer that corresponded to hp225 nm L/S on mask perpendicular to the incident angle of the light. 2.2 Repair tool We employed a FIB repair tool with chemical vapor deposition process. Table 1 outlines the basic specifications of the process. Repair system: Acceleration voltage: Probe current: Precursor: Table 1 The specification of FIB tool Prototype system for EUVL mask 15 kv 2 pa C 14 (Phenanthrene) 2.3 EUV mask structure and mask design The structure of a EUV mask used in our repair experiments was as follows: LR*-absorber layer (51 nm t )/ Buffer layer (2 nm t )/ Capping layer (11nm t )/ Multi layer (Mo/ Si 4 pairs)/ Qz *LR= Low reflective The mask design that we evaluated was as follows: Base pattern: hp225 nm dense line Defect type: Line-cut defect 2.4 Exposure tool and condition To confirm the usefulness of FIB-CVD method, the repaired patterns were printed on a resist coated wafer to study the variation in the width of resist pattern. The wafer print test was performed using a small field exposure tool (SFET) at Selete under the conditions: NA=.3 (central obscuration 3%), and, sigma=.3/.7 (inner/ outer). The defect printability was evaluated by reading the developed resist pattern using a scanning electron microscope (SEM). Proc. of SPIE Vol. 7379 73792L-2

2.5 Condition of durability test The deposition condition and the durability test items used in the test are listed in Table 2. Figure 1 shows schematic view of hydrogen radical cleaning system. Table 2 Deposition condition and durability test items Deposition condition Beam: FIB 15kV (Ga+) EB: 3kV Precursor: C14 (Phenanthrene) Methylcyclopentadienyltrimethylplatinum (MeCpPt(Me)3) Durability test item Etching test: Chlorine based plasma etching Cleaning test: ydrogen radical cleaning 2 to Power Supply Shower head IR pyrometer ot W wire Vac. Gauge ydrogen radical cleaning system Thermal Shield Sample Sample Stage (Water-Cooled) Schematic view of system Figure 1 Schematic view of hydrogen radical cleaning system Proc. of SPIE Vol. 7379 73792L-3

3. RESULTS AND DISCUSSIONS 3.1 Influence of the density of deposited carbon material film on print CDs In repairing clear defect using FIB-CVD (Chemical Vapor Deposition) process, it became apparent that the EUV lightshielding capability of carbon based CVD film was not adequate. We simulated repairing capability of clear defect using carbon materials with different densities. Figure 3-1 shows a CD-focus characteristics of defect repaired area. The calculated model is line-cut defect in hp45 nm (hp225 nm on mask). The thickness of deposited film is 51 nm t which is same as the thickness of absorber layer. The densities of carbon film are: 1.2 g/cm 3 (amorphous carbon), 2.2 g/cm 3 (graphite), and 3.6 g/cm 3 (diamond). The result shows that the calculated values of the line CDs depend on the density of carbon film. The graphite density seemed to be best suited for the job. Then we evaluated the performance of defect repairs using FIB-CVD with carbon based precursor. Calculated mask model Base pattern: hp225 nm L/S Defect: 1:2 Line cut Deposition Material: Carbon Thickness= 51 nm Density= 3.6, 2.2, 1.2 g/ cm3 Deposition Film Absorber layer Buffer layer Cap. layer Thickness Absorber/ Buffer/ Cap.=51/ 2/ 11 [nm] Calculated line CD [nm] @1x Ref 3.6 g/cm 2.2 g/cm 1.2 g/cm 55 5 CD+/-% 45 4 35 3 25-15 - -5 5 15 Focus [nm] @wafer (a) Calculated mask model (b) Focus characteristics of defect repaired area Fig. 3-1 Influence of the film density on print CDs (calculated) 3.2 Basic performance of the FIB-CVD and the buffer layer etching We applied FIB-CVD repair process, and the buffer etching process to confirm the applicability of FIB-CVD to EUV mask containing a buffer layer. Figure 3-2 shows the SEM image and AFM profiles of repaired area after the buffer layer etching process. The AFM profiles indicate that the bottom width of deposition film and is thickness are controllable. It is well known that the CVD process induces thin deposition film around the repaired area. This thin film consists of the precursor material used in the repair process. In case of photomask repair, based on careful study of this thin film, the repair processes have been carried out by controlling the deposition film width and height to improve the lithography performance. In the case of EUV mask with buffer layer, we should remove the buffer layer after repairing all pattern defects. The problem arises from the buffer layer residue left after the etching process which is caused by the relatively-slow etching rate of the thin film than of the buffer layer. In this study, we applied normal buffer layer etching process. The AFM profiles indicate that the buffer layer was completely removed without leaving any residue; and it was confirmed that the CVD-induced thin deposition film did not cause any buffer residue. Proc. of SPIE Vol. 7379 73792L-4

Repaired area 5nm (a) Top view SEM image of repaired area eight [nm] 9 8 7 6 5 4 3 2 - Deposition film Reference 2 4 6 8 Cap.&ML Distance [nm] (b) AFM profiles of repaired area Film thickness 59 nm t 47 nm t 41 nm t Absorber Buffer Fig. 3-2 SEM image and AFM profiles of line-cut defect repaired area after the buffer layer etching 3.3 Influence of the film thickness on wafer printability Figure 3-3-1 shows the relationship between the thicknesses of deposition film and printed CD of 45nm pattern at the best focus. The calculation condition of the film gave round shaped design like real profile, and 2.2 g/cm 3 of density. The width of the deposition film was fixed to 225nm on mask as shown in figure 3-2. To achieve within a range of +/-% CD degradation of defect repaired region, Figure 3-3-1 indicates that the thickness of carbon film is required to be more than 3nm. owever, the defocus characteristic of a repaired region indicates that 47nm of film thickness causes slight CD change, as compared with reference pattern at defocused condition (Figure 3-3-2). In this study, the result proved that there were no local CD changes around the defect repaired region with the condition of 59nm thickness. Calculated M easured 15 CD error [nm] (Target = 45 nm) 5 CD+/-% -5 - -15 2 3 4 5 6 7 8 Thickness of deposition film [nm] Fig. 3-3-1 Relationship between the thickness of deposition film and wafer CD of 45nm pattern at the best focus Proc. of SPIE Vol. 7379 73792L-5

Focus: -45nm@wafer 59 nm t -3nm nm +3nm +45nm 2nm 47 nm t CD change Repaired I j i-ti- ---lit- -!-,jf - Fig. 3-3-2 Defocus characteristic of the line-cut defect repaired region. Upper and lower figures are printed results of film thickness 59nm and 47nm respectively. 3.4 Influence of film width on printed CD It is of interest to note that the FIB deposited carbon film shows excellent repair performance of clear defect for EUV mask. In this section, we evaluated the effect of the film width on wafer-printed CD. Figure 3-4 shows the experimental and simulated results of the relationship between film width and printed line CD. In this case, the thickness of the film is fixed at 59nm. The designed CD is 45nm on wafer, and the film model uses the same condition as in section 3.3. The result indicates not only the CDs are able to control using biased repair method but also the bottom defined film width is a reasonable option. These wafer-printed results indicate that the FIB-CVD technique show excellent repair performance on Cr buffer type of EUV masks. CD error [nm] (Target = 45 nm) Calculated 15 5-6 -4-2 -5 2 4 6 - M easured -15 Bias of deposition film width [nm] Fig. 3-4 Influence of the film width on wafer line CD (Bias zero = 225nm) Proc. of SPIE Vol. 7379 73792L-6

3.5 Application to hp nm dense-line pattern on mask To confirm the capability of FIB-CVD on narrow pitched device pattern, we applied the FIB-CVD technique to hp nm dense-line pattern with line-cut defect. Figure 3-5 shows the SEM images and AFM profile of repaired region. The images show the FIB-CVD technique responds to repair clear defect in hpnm pattern on mask. owever, there remained a few issues to be improved. The AFM profile indicated one example. There was small amount of buffer layer residue around the deposited region. The root cause of the residue generation was estimated that the FIB-CVD induced halo prevent the buffer layer etching. The ways to solve the issue are to establish halo removal process or to optimize buffer layer etching process. Optimization of the repair process or buffer layer etching process will be addressed in future work. Before repair After repair AFM profile 9 7 6 o 4 3 2 Deposition film U.--. -----1Absorber UUUI--I Buffer 2nm Distance [nm] (a) SEM images of the before and after repaired area Fig. 3-5 Images of line-cut defect before and after the repair process (b) AFM profile of the repaired area 3.6 Durability of deposition materials From the stand point of deposition material, the durability of the deposited film is very important. ere, we ran two types of durability tests on deposition materials. One was the chlorine plasma etching durability that is assumed to be the buffer layer etching process. The other was the hydrogen radical cleaning durability that is assumed to be the mask contamination cleaning process. In the area of EUV irradiation on masks, high energy photon irradiation causes carbon contaminations. The carbon contamination gives rise to variation in the optimum exposure dose. ence the deposited carbon contamination must be removed. The hydrogen radical (-radical) cleaning technique is a well established method for removing carbon contaminations from the EUV mirrors [2]. Recently, this cleaning technique was applied to mask contamination cleaning. On the other hand, the deposition materials used for repairing clear defects are also carbon based materials. In that case, very high cleaning selectivity of the contamination against the deposition material will be required. The experimental result on the etching and cleaning process are shown in Figure 3-6. Under the evaluation conditions, the etching process caused about 1nm of thickness loss. ence, taking into consideration of this film loss the initial film to be deposited then should be 1nm thicker than that of the target because the etching process will be performed only one time. Film loss is also encountered during the cleaning process. The film loss values of the FIB and EB deposited films were 3 and 6nm respectively. It means that both the films will be completely removed during the cleaning cycle. ence it cannot be advisable to apply hydrogen radical cleaning when the carbon based material is applied to repair clear defects. owever, the characteristic of cleaning durability of films that are based on organometallic precursor is quite different. The film loss in this case of FIB-CVD is almost zero although the EB-CVDed film loses 6nm. It seems that the function of FIB is not only to deposit film but also to physically sputter the light atoms like carbon away from the deposited film. Then the surface of FIB-CVD film is covered by thin metal layer. The FIB-CVD with organometallic precursor is one of the options to repair clear defect. Proc. of SPIE Vol. 7379 73792L-7

Film Thickness Loss [nm] 8 6 4 2 FIB EB Phenanthrene 8 6 4 2.1 FIB EB FIB EB Phenanthrene MeCpPt(Me)3 (a) Chlorine plasma etching process (b) ydrogen radical plasma cleaning process durability of the carbon films durability of the carbon and organometallic films Fig. 3-6 The durability of deposition films against the buffer layer etching process and hydrogen radical cleaning process. 4. SUMMARY The mask repair performance using FIB-CVD technique was investigated by simulation software and also by SFET exposure experiment. The SFET printed images verified that the carbon films induced by FIB were quite adequate for repairing clear defects. It was also confirmed that the FIB-CVD technique has a potential to support defect repair in hpnm L/S pattern on mask. The hydrogen radical cleaning of repaired masks induced the phenanthrene based CVD films to lose the thickness. Using organometallic gas as FIB-CVD was one of the solutions that met the durability requirement. 5. ACKNOWLEDGEMENT We would like to thank Tadahiko Takikawa and Yuichi Inazuki of Dai Nippon Printing Co., Ltd for their mask fabrication and technical support. We would also like to thank Tomokazu Kozakai of SII NanoTechnology Inc. for his technical support. This work was supported by New Energy and Industrial Technology Development Organization (NEDO). REFERENCES [1] [2] [3] [4] T. ashimoto, et al., Investigation of Defect Repair Methods for EUVL Mask Blanks through Aerial-Image Simulations Proc. SPIE vol. 5853, 855, (25) T. Terasawa, et al., Multilayer bottom topography effect on actinic mask blank inspection signal Proc. SPIE vol. 667, 667K, (27) T. Shoki, et al., Recent performance of EUV mask blanks with low thermal expansion glass substrates Proc. SPIE vol. 673, 67315, (27) K. ayashi, et al., Development status of EUVL mask blanks in AGC Proc. SPIE vol. 673, 6735D, (27) Proc. of SPIE Vol. 7379 73792L-8

[5] [6] [7] [8] [9] [] [11] [12] [13] [14] [15] [16] [17] [18] [19] [2] T. Abe, et al., EUV mask pattern inspection using current DUV reticle inspection tool Proc. SPIE vol. 667, 667L, (26) D. Y, Kim, et al., EUV mask pattern inspection for Memory Mask Fabrication in 45nm node and below Proc. SPIE vol. 6349, 63492L, (26) T. Amano, et al., Evaluation of EUVL-mask pattern defect inspection using 199-nm inspection optics Proc. SPIE vol. 673, 6735J, (27) T. Abe, et al., Evaluation of dry etching and defect repair of EUVL mask absorber layer Proc. SPIE vol. 5567, 1435, (24) T. Abe, et al., Evaluation of defect repair of EUV mask absorber layer Proc. SPIE vol. 5853, 866, (25) T. Amano, et al., Study of EUVL mask defect repair using FIB-GAE method Proc. SPIE vol. 7122, 71222, (28) Y. Nishiyama, et al., Ga implantation and interlayer mixing during FIB repair of EUV mask defects Proc. SPIE vol 7122, 71222J, (28). Z. Zhang, et al., Investigation of resist effects on EUV mask defect printability Proc. SPIE vol. 673, 67316, (27) T. Liang, et al., EUV Mask Pattern Defect Printability Proc. SPIE vol. 6283, 6283K, (26). Aoyama, et al., Repair specification study for half pitch 32-nm patterns for EUVL Proc. SPIE vol. 673, 6735L, (27) B. Li, et al., Efficient dry etching of Si with vacuum ultraviolet light and XeF2 in a buffer gas J. Applied physics 77(1), pp35-356, (1995) Y. Nishiyama, et al., Damage analysis of EUV mask under Ga focused ion beam irradiation Proc. SPIE vol. 728, 728J, (28) T. Amano, et al., Evaluation of defect repair of EUVL mask pattern using FIB-GAE method Proc. SPIE vol. 728, 7281T, (28) Matthew G. Lassiter, et al., Inhibiting spontaneous etching of nanoscale electron beam induced etching features: Solutions for nanoscale repair of extreme ultraviolet lithography masks J. Vac. Sci Technol. B 26(3), (28) Su-Young Lee, et al., Analysis of process margin in EUV mask repair with nano-machining Proc. SPIE vol. 7122, 71222I, (28). Oizumi et al., Proc. SPIE Vol. 5751, 1149 (25). Proc. of SPIE Vol. 7379 73792L-9