Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Similar documents
Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography

LIFT-OFF TECHNIQUE USING DIFFERENT E-BEAM WRITERS. Jana CHLUMSKÁ, Vladimír KOLAŘÍK, Stanislav KRÁTKÝ, Milan MATĚJKA, Michal URBÁNEK, Miroslav HORÁČEK

PLASMONIC STRUCTURES IN PMMA RESIST

Advanced resists for e-beam lithography: processing, exposure and characterization (Part II)

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

CHAPTER 9 AFM PROFILING AND NANOLITHOGRAPHY WITH NEEDLE-TIPPED CANTILEVERS

Electron Beam Lithography patterned Hydrogen Silsesquioxane (HSQ) resist as a mandrel for Self- Aligned Double Patterning application

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

Procedia Chemistry 1 (2009) Proceedings of the Eurosensors XXIII conference

Micro- and Nano-Technology... for Optics

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

MCC. NANO PMMA and Copolymer

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Three-dimensional SU-8 structures by reversal UV imprint

Compact hybrid plasmonic-si waveguide structures utilizing Albanova E-beam lithography system

Fabrication Techniques for Thin-Film Silicon Layer Transfer

UV15: For Fabrication of Polymer Optical Waveguides

Fabrication Technology, Part I

NanoSystemsEngineering: NanoNose Final Status, March 2011

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Mold Fabrication for 3D Dual Damascene Imprinting

Fabrication of annular photonic crystals by atomic layer deposition and sacrificial etching

Superionic Solid State Stamping (S4)

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Fabrication characteristics of a line-and-space pattern and a dot pattern on a roll mold by using electron-beam lithography

Czochralski Crystal Growth

micro resist technology

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Photolithography I ( Part 2 )

Microelectronic Device Instructional Laboratory. Table of Contents

Introduction to Lithography

Cost Effective 3D Glass Microfabrication for Advanced Packaging Applications

Fully-integrated, Bezel-less Transistor Arrays Using Reversibly Foldable Interconnects and Stretchable Origami Substrates

STATE-OF-THE-ART OF FOCUSED ION BEAM NANOLITHOGRAPHY

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

TI 35ES image reversal resist

Direct Patterning of Self Assembled Nano-Structures of Block Copolymers via Electron Beam Lithography

Lab #2 Wafer Cleaning (RCA cleaning)

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

Silicon Microparticle Ejection Using Mist-jet Technology

SCIENCE CHINA Technological Sciences. Replication of large area nanoimprint stamp with small critical dimension loss

GLM General information. Technical Datasheet

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

THIN METALLIC LAYERS STRUCTURED BY E-BEAM LITHOGRAPHY. Miroslav HORÁČEK, Vladimír KOLAŘÍK, Michal URBÁNEK, František MATĚJKA, Milan MATĚJKA

Electron beam and scanning probe lithography: A comparison

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Microtexture measurement of copper damascene line with EBSD

Processing guidelines

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Supporting Information

Holography of Making 1D-Nano-Trench-Lines and 2D-Nano-Posts

There are basically two approaches for bulk micromachining of. silicon, wet and dry. Wet bulk micromachining is usually carried out

A Nano-thick SOI Fabrication Method

EUV Transmission Lens Design and Manufacturing Method

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

Examples of dry etching and plasma deposition at Glasgow University

Introduction to Nanoscience and Nanotechnology

Supplementary Figure 1 The lithium polysulfide distribution on the patterned electrode.

General Introduction to Microstructure Technology p. 1 What is Microstructure Technology? p. 1 From Microstructure Technology to Microsystems

BEFORE you can do any resist processing, you must be familiar with the chemicals you will be using, and know and respect the dangers of them.

Uncrosslinked SU-8 as a sacrificial material

High-Resolution, Electrohydrodynamic Inkjet Printing of Stretchable, Metal Oxide Semiconductor Transistors with High Performances

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Wireless implantable chip with integrated Nitinol-based pump for radio-controlled local drug delivery

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Advanced Polymers And Resists For Nanoimprint Lithography

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

TED PELLA, INC. Microscopy Products for Science and Industry

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Amorphous and Polycrystalline Thin-Film Transistors

Title: Localized surface plasmon resonance of metal nanodot and nanowire arrays studied by far-field and near-field optical microscopy

NANO-FABRICATION FOR MESOSCOPIC PHYSICS

micro resist technology

Supplementary Figure S1 Photograph of MoS 2 and WS 2 flakes exfoliated by different metal naphthalenide (metal = Na, K, Li), and dispersed in water.

PROJECT PERIODIC REPORT

Basic&Laboratory& Materials&Science&and&Engineering& Etching&of&Semiconductors&

AR NEWS 24 nd issue, April 2012

Characteristics of Heat-Annealed Silicon Homojunction Infrared Photodetector Fabricated by Plasma-Assisted Technique

1. Aluminum alloys for direct contacts. 1.1 Advantages of aluminum alloys for direct contacts

EUV Transmission Lens Design and Manufacturing Method

Photolithography Process Technology

Lecture 4 Lithography II

Lithography Tool Package

Mikrosensorer. Microfabrication 1

L5: Micromachining processes 1/7 01/22/02

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Technical Data Sheet Technisches Datenblatt

Fabrication of photonic band-gap crystals

CHAPTER 4. SYNTHESIS OF ALUMINIUM SELENIDE (Al 2 Se 3 ) NANO PARTICLES, DEPOSITION AND CHARACTERIZATION

Fabrication of sub-100nm thick Nanoporous silica thin films

Vacuum casting, a new answer for manufacturing biomicrosystems

RIE lag in diffractive optical element etching

Epitaxy and Roughness Study of Glancing Angle Deposited Nanoarrays. Hamid Alouach and G. J. Mankey

Transcription:

A S Jugessur,, 2017, 1:1 SciFed Nanotech Research Letters Research Article Open Access Sub-5 nm Structures Process Development and Fabrication Over Large Areas * A S Jugessur * University of Iowa Microfabrication Facility, Optical Science and Technology Center University of Iowa, 205, N. Madison St., Iowa City, Iowa 52242 United States Abstract In this report, the electron beam lithography process development of sub-5 nm lines on 25 nm thick Hydrogen Silsesquioxane (HSQ) resist is investigated using a 100 kv electron beam lithography acceleration voltage. The exposed patterns are developed using varying developer temperatures under two sample agitation modes. In addition, lift-off processes are developed and optimized to transfer the patterns on silicon substrates. The influence of several process parameters such as development temperature, exposure current, exposure dose, pattern density and pitch on the line-width is investigated. Lines with lateral width of 3 nm on a 100 nm pitch have been successfully exposed and the pattern transfer of the periodic lines, bent lines, and nanopillars on Chromium layer using lift-off have been demonstrated. This report describes the electron beam lithography process development of dense sub-5 nm lines and also, presents the limitations of the lift-off technique for lines on the nanometer scale. Keywords nanolithography; electron-beam lithography; lift-off; photonics; x-ray zone plates; nanoelectronic; moore s law; nanostructures Introduction The patterning and transfer of ultra-small features in the nanometer regime on a wide variety of substrate materials have become an important area of research in recent years [1, 2]. The main driver of this field has been the micro- and nanoelectronics industry where the transistors keep diminishing in size as predicted by Moore s law [3]. This trend has also benefited other scientific and technological areas involving the fabrication of nano-devices for a wide range of applications in sectors such as bio-medical, environment, energy, communications [4] and sensing [5]. As a result, the process development of sub-10 nm patterning and transfer of features have become very critical to ensure the realization of nano-scale devices. In general, nanofabrication can be divided into two areas firstly, lateral or horizontal placement engineering of two-dimensional (x, y) patterns on a surface and secondly, the pattern transfer in the (z) dimension. The first one is nanolithography and the second step involves process such as lift-off, deposition or etching of material. This work deals with both aspects of nanofabrication. In this study, HSQ resist (Dow Corning XR-1541 2%) with thicknesses of approximately 25 nm and 55 nm was spun on silicon substrates (1st table). All the samples in this work were exposed using a Vistec EBPG5000+ tool, using a beam current of 200 pa current and a beam step size of 2.5 nm unless specified otherwise. A range of developer temperatures was used with the aim of Table 1. Resist spin-coating parameters used in the current study. MIBK (methyl isobutyl ketone) is the original solvent for 2% XR-1541 resist and was used in dilutions. Resist dilution Spinning speed (rpm) Acceleration (rpm/min) Spinning time (sec) Post-spinning baking XR-1541 2% 2000 584 60 3 min at 100ºC hotplate XR-1541 2%/ MIBK = 19/41 1000 584 60 3 min at 100ºC hotplate Thickness (nm) 52-55 22-25 determining the optimum development temperature for a 2.4% TMAH developer solution (Tetramethylammonium Hydroxide, commercially known as CD-26 developer), which is the most *Corresponding author: A S Jugessur, University of Iowa Microfabrication Facility, Optical Science and Technology Center University of Iowa, 205, N. Madison St., Iowa City, Iowa 52242 United States. E-mail: aju-jugessur@uiowa. edu Received February 20, 2017; Accepted February 23, 2017; Published March 10, 2017 Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. Copyright: 2017 A S Jugessur. This is an open-access article distributed under the terms of the Creative Commons Attribution License, which permits unrestricted use, distribution, and reproduction in any medium, provided the original author and source are credited. page 1 of 7

Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. widely used developer for XR-1541 resists. Several experimental investigations of the dependence of line-width on development temperature, pitch and electron beam exposure dose were carried out. In addition, a lift-off process to transfer the lines on silicon substrates and the limitations of the latter technique were investigated. The process development reported in this work can be useful in the fabrication of a wide range of nanostructures with applications in several scientific and technological areas such as in the fabrication of X-ray zone plates for microscopy, photonic sensors for bio-medical devices and graphene-based devices for electronic applications. EXPERIMENTAL It is worth discussing some key properties and behavior of the HSQ resist as they are critical in achieving the sub-20nm resolution described in the results presented in this work. HSQ resist consists of silicon oxide-based cage monomer units that polymerize upon electron beam exposure to form an amorphous glass-like dielectric material. The resolution of the HSQ resist can be improved by varying the baking temperature during the pre- and post-exposure processing. Prior to electron-beam exposure, the HSQ resist is baked at 100 C on a hotplate in the cleanroom wet-bench which is a simpler and time-effective practice compared with oven or vacuum-oven baked approaches. The resist would cross-link and form an insoluble network at more elevated temperatures. Thermal dissociation of Si-H bonds and re-arrangement of Si-O bonds to form glass occurs above 650 C but a stable form is reached at even lower temperatures [6]. In addition, the resist surface roughness was found to increase at higher temperatures [7] and a lower sensitivity of the resist to the electron beam exposure was observed, which lead to higher exposure dose requirements. HSQ resist is also found to be unstable in air since it is observed that a slow and gradual crosslinking can occur if HSQ coated samples are exposed to air for several days after the spinning process. Similar effects were reported which led to patterned features larger than the designed size [8, 9]. In our experiments, the air exposure of HSQ resist after spin coating was minimized and the sample was loaded in the e-beam vacuum chamber within half an hour, which includes time to load sample on the holder and align the holder stage and the sample. There are several process parameters during the electron beam lithography exposure of a resist that can be varied and optimized to achieve features of high resolution, such as the electron beam acceleration voltage, electron beam current, beam step size, and developer temperature. In this work, the electron beam parameters producing the best resolution were chosen as follows: acceleration voltage of 100 kv, beam current of 200 pa and beam step size of 2.5 nm. The temperature of the developer was varied to investigate its influence on the lateral width of the linear grating structures. Figure (1) shows the influence of temperature beyond the optimum value on the line-width and the set of SEM (scanning electron micrograph) images in Figure (2) shows the quality of the line-width at different temperatures was found to be 50 C below which, the line quality is observed to degrade, and above which, the linewidth is observed to increase. The pitch and designed line-width were chosen to be 55 nm and 5 nm (2-exel at 2.5 nm resolution) respectively. The HSQ resist thickness was approximately 22 ± 3 nm of HSQ resist. The optimum development temperature fig 1. While the improvements in line-width resolution and pattern quality are observed as the development temperature is raised to the optimum of 50 C, the opposite trend is observed for temperatures above this value. The HSQ resist contrast increases with increasing developer temperature, which was also previously demonstrated elsewhere [10], while the sensitivity decreases leading to higher dose requirements. In this work, we demonstrate that this trend seems to reverse after the threshold optimum developer temperature of 50 C is reached. As reported earlier, there are processes taking place during development which could explain the current results [11, 12]. The cross linking of the HSQ resist dominates at room temperature. As the temperature is increased, thermal vibrations allow a faster mobility of the cations to the exposed-unexposed resist interface, as a result resist removal occurs via the dissolution mechanism. Above the optimum temperature of 50 C, the lateral width of the lines is observed to grow wider as reported in previous work [9, 10]. Figure 1: Influence of developer temperature on the width of nano-scale lines page 2 of 7

Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. 21 C 30 C 40 C 50 C 55 C 60 C Figure 2: Scanning electron micrographs showing the pattern quality at varying developer temperatures 2 (a) Impact of ultrasonic agitation of pattern quality The impact of manual and ultrasonic agitation during sample development on the pattern resolution and quality were also investigated. Figure (3) shows the variation of line-width with electron beam dose under different modes of agitation. Ultrasonic agitation is shown to reduce resist crosslinking between the lines and most importantly, reducing the line-width by a factor of 2. One possible explanation is that ultrasonic agitation improves the reaction by increasing the flow rate of the developer cations to the exposed/unexposed resist interface. As a result, the hydrogen gas formed during this reaction is removed at a faster rate from the sample surface, thereby, further improving the developer/resist reaction. It is also worth noting, that the ultrasonic agitation does not destroy the sub-10 nm lines which demonstrates the latter s mechanical integrity. In addition, all the samples were carefully and consistently held with the pattern facing up in the developer solution during the ultrasonic agitation. 2 (b) Dependence of line-width on electron beam dose There is a strong dependence of line-width with the electron beam dose. Figure 4 (a) and (b) shows this trend for a 25 nm thick resist at a 35 nm pitch and a 55 nm thick HSQ resist at a 50 nm pitch, respectively. The general trend is a decrease in line-width with decreasing electron beam dose. However, there is a minimum dose beyond which the energy required to initiate resist cross-linking is insufficient, resulting in under-exposure. Figure 3: Variation of line-width with electron beam dose under different modes of sample agitation page 3 of 7

Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. Figure 4 (a) and (b): Line-width dependence on dose, (a) 25 nm HSQ resist using 1 exel at 35 nm pitch, (b) 55 nm HSQ resist using 3 exels at 50 nm pitch 2 (c) Smallest line-width Using the same process parameters and steps described in above sections, 1-exel lines, 3 nm wide with a 100 nm pitch (Figure 5) and line edge roughness of 0.7 nm were obtained using a 25 nm thick HSQ resist. 3nm Figure 5: 1-exel lines 4.3 nm wide at a pitch of 100 nm, exposed on 25 nm thick resist using a dose of 24,000 μc/cm2 (6 nc/cm) It is worth noting that a line-width size comparable to that obtained in this work has been reported [10], however the process parameters and steps involved in the latter work are substantially different from the current work. Some of the key process parameters of the reported work are as follows: resist thickness 10 nm, acceleration voltage of 10 kv and a salt developer (1 % NaOH, 4 % NaCl). In the current work, a line-width of 4.3 nm has been achieved using a thicker resist resulting in structures with higher aspect ratio, which is an important requirement in nanofabrication for several device applications. 1-exel lines which are 6.1 nm wide at 100 nm and 50 nm pitch (Figure 6) have also been achieved using the same process parameters using a 55 nm resist thickness. Figure 6 (b) shows the cross-section of the 6.1 nm lines. According to the authors knowledge, these are the smallest line-widths reported using the specific, yet simple set of process parameters and steps described in this work. 2 (d) Pattern transfer using the lift-off process Lift-off processes were carried using 1% Hydrofluoric acid (HF) in ultrasonic bath with the exposed sub-10 nm lines at varying pitch on a 55 nm thick resist. The lateral widths of the trenches were measured before and after the lift-off process and the results are shown in Figure (7) This is attributed to the non-conformal deposition of the Chromium layer on the sub-10 nm lines. In addition, the ultrasonic agitation could lead to the removal of the chromium at the edges of the lines. It is also important to note that ultrasonic agitation is critical in the lift-off process with HF otherwise a complete removal of the resist is not possible. Figure (8) shows the lift-off results where the HSQ lines covering a 10x10 μm area were exposed using 1-exel exposure at 45 nm pitch with an electron beam dose of 4800 μc/cm2 (1.2 nc/cm). (The number of exels is the number of beam step sizes that can fit into the designed feature in both the x and y directions). To show the versatility of the proposed lift-off process, as a proofof-concept we also demonstrated lift-off on 90º bent line gratings and nanopillar arrays, which are shown in Figure 9 (a) and (b). fig9 page 4 of 7

Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. Figure 6 (a) and (b): 1-exel lines 6.1 nm wide at a 100 nm pitch, exposed on a 55 nm thick resist using a dose of 25,000 μc/cm2 (6.5 nc/ cm), (b) cross-section of the 6.1 nm wide lines at a 50 nm pitch, exposed on a 55 nm thick resist using a dose of 14000 μc/cm2 (3.5 nc/cm) Figure 7: Sub-10 nm lines before and after the lift-off process page 5 of 7

Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. Figure 8: Nano-scale lines transferred on silicon substrates using lift-off technique, using 1-exel exposure at a 45 nm with a dose of 4800 μc/cm2 (1.2 nc/cm). pitch, using Figure 9 (a) and (b): (a) SEM micrograph showing 90º bent trenches in 8 nm Cr fabricated via lift-off; the original HSQ pattern was written using 1-exel at 50 nm pitch with 17,000 (4.25 nc/cm) dose; lift-off using 1% HF in ultrasonic bath for 2 min; (b) SEM micrograph of a nanohole array in 8 nm Cr after lift-off; sample written at 4000 μc/cm2, 100 kv beam, 5 na beam current, 125 nm beam step size; lift-off using 1% HF in ultrasonic bath for 2 min. page 6 of 7

Citation: A S Jugessur (2017) Sub-5 nm Structures Process Development and Fabrication Over Large Areas. 1:1. Discussion In this work, we report two novel fabrication process results. Firstly, the resolution limits of the HSQ resist were investigated using a hot development process and dense lines of 4.3 nm wide on a 100 nm pitch were achieved on a 25 nm thick resist. Comparable results were reported but on a thinner resist and using a salty developer that can add further complexity to the process [11]. The impact of ultrasonic agitation as a key process step to deliver ultrafine lines was also demonstrated, supporting the previous which also demonstrate the benefits of using ultrasonic agitation during development in some cases [9]. Secondly, lines with lateral widths of 10-15 nm were successfully transferred using the lift-off process although the exposed lines on the HSQ resist were in the sub-10 nm scale. These results demonstrate the limitations of lift-off process for pattern transfer of sub-10 nm lines on a substrate. Furthermore, lift-off on 90º bent line gratings and nanopillar arrays in HSQ resist using a chromium metal layer and hydro-fluoric acid in combination with ultrasonic agitation were shown to lead to high quality and reproducible patterns. Hence, the proposed process is versatile for a variety of nanoscale patterns. Further investigation and process development are required to optimize the existing process and develop new ones for the pattern transfer of sub-10 nm lines using lift-off techniques. Conclusions The ability to reproducibly fabricate devices with sub- 10 nm features is becoming more and more critical for devices having a wide range of scientific and technological applications. By the same token, there have been significant research efforts in the process development of sub-10 nm features using several techniques. In this report, a process to pattern dense sub-5 nm lines on a substrate using electron beam lithography has been achieved and lines of 3 nm wide on a 100 nm pitch have been achieved using hot development. To the best of the authors knowledge, this is the narrowest line-width exposed using the specific process conditions reported in this work. In addition, a lift-off process for dense sub-10 nm lines is also reported where the transfer of lines with lateral widths of 10-15 nm are achieved. The latter results also demonstrate the limitations of the lift-off techniques to transfer sub-5 nm lines on substrates. The process development steps reported in this work are generic and can be applied to a wide range of substrates using HSQ resist. However, the process parameters are expected to vary depending on the substrate used. The process reported in this work would be useful in the fabrication of a wide range of nanostructures and devices such a X-ray zone plates, optical slot-waveguides, graphenebase electronic devices and nano-fluidic channels. References 1. Grigorescu AE, van der Krogt MC, Hagen P, et al. (2007). Microelectronic Engineering 84: 822 824. 2. Jugessur AS, Zhang A, Lyu Y (2016) Proc. SPIE 9759, Advanced Fabrication Technologies for Micro/Nano Optics and Photonics IX, 97591C 3. R. P. Seisyan (2011) Nanolithography in Microelectronics: A Review, Technical Physics, 56: 1061-1073 4. Jugessur AS, Dou J, Aitchison JS (2010) Nanofabrication of photonic crystal-based devices using electron beam spot lithography J. of Vac. Sci. and Technology 6: C608-C6010. 5. Jugessur AS, Dou J, Aitchison JS, et al. (2009) Microelectronic Engineering 86: 1488-1490 6. Choi S, Word MJ, Kumar V, et al. (2008)., Comparative study of thermally cured and electro-beam exposed hydrogen silsesquioxane J. of Vac. Sci. and Technology 5: 1654-1659. 7. Georgiev YM, Henschel W, Fuchs A, et al. Surface roughness of hydrogen silsesquioxane as a negative tone ACKNOWLEDGMENTS The authors would like to acknowledge the NSERC Canada and Toronto Nanofabrication Facility at the University of Toronto where most of the work was carried out. page 7 of 7