Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies

Similar documents
DELTA Microelectronics

IC Integrated Manufacturing Outsourcing Solution

Building an EcoSystem for User-friendly Design of Advanced System in Package (SiP) Solutions

Designers Describe Next-Generation Application- Specific Devices

Agile value chain for medium volumes, custom MEMS, manufacturing, packaging and integration Vincent Gaff, Tronics Microsystems, France

COVENTOR PREDICTING ACTUAL FROM VIRTUAL

Huawei Technologies, Inc.

1 Day Business Development: Navigate from the start to finish A Road Warrior Group (RWG) Whitepaper by Doug Lyons

Robustness and Reliability - Facing new Quality Levels for Automotive ICs with Design for Yield

WeE10.4 I. INTRODUCTION CHALLENGE IN THE SEMICONDUCTOR INDUSTRY /07/$ IEEE. 1597

AccuCell. Simucad Sales Training INTERNAL USE ONLY NOT FOR CUSTOMERS

RISC-V SoC Hierarchical Verification Block to Top Level. Jeremy Ralph - Verification Consultant November 13, 2018

QUALITY MANUAL. Chipcon AS

Escape prevention. & RMA management. Dan Glotter CEO & Founder OptimalTest

21 st CENTURY GLOBAL ENGINEERING GLOBAL SCALE VALUE HCL ERS SEMICONDUCTOR ENGINEERING SERVICES

Steve Chin, Stretch, Inc.

Standing up to the semiconductor verification challenge

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

Good things come in small packages, We help good become better SEMICONDUCTORS HCL ENGINEERING AND R&D SERVICES

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

LSI Products Business Strategy

Altera s Roadmap. Looking Forward Altera Corporation

Address system-on-chip development challenges with enterprise verification management.

Total Cost of Ownership: Xilinx FPGAs vs. Traditional ASIC Solutions Author: Shelly Davis

The Role of Wafer Foundries in Next Generation Packaging. David McCann, VP Packaging R+D May 28, 2013

SEER for Hardware Electronics and Systems Extended Capabilities

MEMS/MST Collaborative Product Engineering for Silicon-based Fabrication Process Development. Dr. Dirk Ortloff Process Relations GmbH

Technical Viability of Stacked Silicon Interconnect Technology

IARPA: ADVANCED CYBER RESEARCH IN A CONNECTED WORLD DR. STACEY DIXON

Virtualizer: Next-Generation Virtual Prototyping. Marc Serughetti Director Product Marketing Virtual Prototyping

NASDAQ 32 nd Investor Program

Lehman Brothers Global Technology Conference. December 2007

Structured ASICs - A Risk Management Tool. Peter Woo Senior Director of Product Marketing easic Corp.

AN 453: HardCopy II ASIC Fitting Techniques

Credit Suisse Technology Conference

ASIC Physical Design CMOS Processes

13. Back-End Design Flow for HardCopy Series Devices

TSMC Property. ConFab. Bridging the Fabless-Foundry Gap. BJ Woo. Sr. Director Business Development TSMC TSMC, Ltd

HARDWARE PRODUCT ENGINEERING HCL ENGINEERING AND R&D SERVICES

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

EE 197S. Technical Economics: Product Development & Planning

HardCopy Series. ASIC Gain Without the Pain

Analog Semiconductor Leaders Forum. Dongbu HiTek s. Analog Manufacturing Competitiveness. Shaunna Black SVP Manufacturing Division

Affordable plant layout and process simulation software

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

Successful Entrepreneurship for Microsystems

Realizing the Full Potential of MEMS Design Automation. Steve Breit, Ph.D., V.P. Engineering

COMPANY PRESENTATION. For excellence in electronics. Electronics consulting, expertise and services 1

Sharif University of Technology Introduction to ASICs

POWER. OpenPOWER Foundation Overview. February Steve Fields IBM Distinguished Engineer Director of Power Systems Design

RAPID AUTHENTICATION THROUGH VERIFICATION, VALIDATION, AND MARKING

QUALITY MANUAL. Number: MAN Dept: Quality. Quality Manual. Rev: Page. 1 of 11

Siemens PLM Software. Optimizing semiconductor device innovation practices and processes. Realize innovation. siemens.com/plm

EDA Technologies Fueling IoT Implementation, Current and Future

HP s Assessment of the OpenAccess Standard. Terry Blanchard Jim Wilmore 25 March 2003

Worldwide IC Package Forecast (Executive Summary) Executive Summary

Roundtable 3DIC & TSV: Ready for HVM? European 3D TSV Summit

2018 Strategic Cost and Price Model. Scotten W, Jones President - IC Knowledge LLC

Signature Failure Analysis-Based Methodology for Customer Failure Analysis

Manufacturing Excellence Award (MAXA) 2007

Digital VLSI Design. Lecture 1: Introduction

Listening To the Voice of Your Product

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004

System in Package: Identified Technology Needs from the 2004 inemi Roadmap

MoSys Corporate Overview

Designing Products for Efficient Global Logistics

Beyond Temps How to Build a Sustainable CW Program by Incorporating SOW, ICs and RPO

Integrated Design System Workshop Challenges for CAD Departments in providing Integrated Design Systems

GROWTH AREAS FOR IOT AND IMPACT ON FD SOI

Integrating Functional Safety with ARM. November, 2015 Lifeng Geng, Embedded Marketing Manager

STMicroelectronics. Q Financial Results

Shifting Sands. Semiconductor foundries were. The Future for Semiconductor Foundries. By Enrique Duarte Melo and David Michael

VHDL Introduction. EL 310 Erkay Savaş Sabancı University

Design Virtualization and Its Impact on SoC Design

Peter Wennink Good morning / good afternoon ladies and gentlemen and thank you for joining us for our Q results conference call.

The Way Forward for IC Design. October 1, 2014

Need a Security Workforce Management Platform?

Design for Low-Power at the Electronic System Level Frank Schirrmeister ChipVision Design Systems

Managing Project Risks

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

Sourcing Optimization Series

Automotive Safety and Security in a Verification Continuum Context

Predicting, Validating, and Improving Yield of Multi-Chip RF Modules During Product Development

Manufacturing Intelligence. COMPAnY OVerVieW

StarRC Custom Parasitic extraction for next-generation custom IC design

Company Overview November MICROVISION, INC. ALL RIGHTS RESERVED.

FPGA - Based Technology and Systems for I&C of Existing and Advanced Reactors

STMicroelectronics. Citigroup Technology Conference. Alain Dutheil Chief Operating Officer

Finding the Right Manufacturer for Your Design

Secure SoC Manufacturing: Foundation for a Connected World

Renew, Retire, Replace

High Level Synthesis with Catapult 8.0. Richard Langridge European AE Manager 21 st January 2015

Pre- and Post-Si Validation Challenges Intel Annual Symposium VLSI CAD and Validation

Typical Starting Points and Methodologies for Full Custom Analog Integrated Circuits and Micro-Systems Development and Manufacture. By SPG Staff.

Powering High Speed Networks

SiGe Technologies for Performance-Driven Applications

On-Chip Debug Reducing Overall ASIC Development Schedule Risk by Eric Rentschler, Chief Validation Scientist, Mentor Graphics

ENABLING 21 ST CENTURY HEALTHCARE

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

ADVANCED VLSI COURSE IN PHYSICAL DESIGN

Transcription:

Glue-ware Essential for Streamlined SOC Execution at Emerging Fabless IC Companies Rakesh Kumar, Brian Henderson Technology Connexions San Diego, CA rakesh@tcxinc.com, brianh@tcxinc.com Emerging Fabless companies usually have system-level ideas that need to be transformed into silicon. The end product is an integrated circuit (IC) or a chipset that is sold to an OEM or end system provider. The company s business success is dependent on selling such SOCs (systems on chip). At these companies the initial focus is generally on design related activities. Supply chainand Operations-related issues are not in the forefront. The following are some of the design related focus areas. a. reducing the algorithm/architecture into an IC spec and RTL b. proof of concept validation with potential customers, sometimes thru an FPGA implementation c. verification of the functionality, ASIC design execution and timing closure d. physical design and GDSII tape-out A general perception is that once these design activities are completed, implementation of the post-gds prototyping and manufacturing (Fab, Assembly, Test) tasks are a slam-dunk. There is a big sigh of relief. This could not be farther from the truth. Actually this is usually only the half way point of the marathon. Over 50% of the Time to Money is yet to come! In fact this Operations area is not a core competency of the principals, yet the business success of the emerging company depends on successful execution in this area. Individual suppliers in the Design (IP, Libraries, IO, Memories, Design Services providers...) and the Manufacturing (Fab, Assembly, Test, ) supply chains usually have the best solution in each of their spaces. However, the experienced, Vertically Integrated Device Manufacturers (IDMs) and mature Fabless IC companies recognize the existence of GAPS in the overall development and manufacturing of the IC. Glue-ware is defined as the proactive recognition and plugging of these gaps. A comprehensive list of potential gaps, pitfalls and the associated solutions will be addressed in a forthcoming paper. 1 The authors believe that a significant fraction of industry problems such as design tapeout delays and re-spin rates can be attributed to the existence of such gaps. Unfortunately it is also true that some of the early decisions can accentuate the gaps and can come to haunt the companies especially in the Operations phase. 2, 3 - Did you pick the right technology? Is it mature enough to provide the expected yield? - Can you meet the expected cost goals? - Did you pick the right IP provider and were you able to negotiate a favorable business deal? - Was the IP validated in the right process technology at the selected fab? 1 12/11/2002

- Did you have the right business relationships with the supply chain partners fab, assembly, test,? - Did the chip size grow from the original plan? - Is the wafer price competitive? Packaging price? - Is there a Test house you can use for test development and production test? - Does your testability scheme provide sufficient Observability to allow efficient debugging of the IC, if there is a problem? - Was the ESD protection adequate? - Can you meet your customer s Quality and Reliability requirements? - Can you migrate your design to a different Fab for second Sourcing? - And the list goes on In this context Operations has a fairly broad definition as follows - the infrastructure and support required to bridge the gaps between design and manufacturing. - the glue-ware required for smooth execution through design, prototyping and manufacturing. Unfortunately in many cases such Operations functions are addressed by Emerging companies in an ad hoc manner and decisions are sometimes based on limited information and hear-say. The Operations Dilemma While experienced principals may realize the value of some of these Operational issues, they do face a dilemma. - Hiring operations people too early can be expensive - Hiring them too late can be catastrophic in terms of getting the chip thru Ramp-up and Production Recommended Approach A systematic methodology to account for some of these operations issues has been developed. This is based on a concurrent engineering approach which is one important aspect of the glue-ware in the IC development and implementation process. Operations tasks are organized into four phases of the IC life cycle Global Planning, Design Support and Operations Planning, Prototyping and Production Execution (Figure 1). This approach offers an opportunity to cost effectively manage the Operations Dilemma by selectively leveraging experienced industry resources. 2 12/11/2002

System Design Chip design Prototyping Qualification. & Ramp Effort Figure 1. Operations Resource Requirements Global Planning Design Support Operations Planning Prototyping Production Execution Early Global Planning is an investment that goes a long way in minimizing surprises in the manufacturing phase. What is unfortunate is that such global planning is rarely implemented even though the amount of effort required for such planning is relatively small compared to the design resources being invested by the emerging company. The manpower investment does not need to be a full time role. What is needed is an experienced team with the requisite skills to understand the design requirements and match them up with the right execution solution. Adequate attention to Operations issues during the design phase allows for sufficient planning to allow a smooth transition to prototyping and production. There is a burst of activity in this phase - the resources required are minimal (1-4 man months) but essential and are usually senior, experienced individuals. The focus here has to be on the breadth of the issues that need consideration design, including methodologies and tools, models, IP, libraries, memories, IO, process, packaging, assembly, test, yield, debug, business issues etc. The breadth of the team s experience is key to providing glue-ware. Unfortunately, systematic Global Planning is not usually done because of some or all of the following reasons. a. the principals are in a hurry to get started with the design b. the right people are not available c. there is a perception that Operations tasks can be deferred till later d. a superficial comparison with the industry norm indicates the right approach e. there is an under-estimation of the complexity of the tasks In our view the industry is beginning to recognize the value of such proactive focus on Operations activities. 2, 3 The most attractive approach is to leverage a reputed Virtual Operations team that is in the business to provide such services Required elements of the team s strengths are: - technical skills in understanding the design requirements and matching them with the manufacturing technologies and the supply chain - business experience in helping make the right trade-offs, establishing and making connections with a solid supply chain including IP providers - program management skills to define a project plan that is aggressive and yet achievable 3 12/11/2002

Such a Virtual Operations team serves a crucial role in the selection of the right service providers both for the design and the manufacturing phases - and also in the make/buy decision for an Internal Operations team. A sub-set of the Virtual Operations team can be used to provide support in the Design phase. This duration is longer and can be 6-12 months depending on design complexity. The resources required are usually small about one equivalent full time person. However many different skills can be required at different times during this phase. Leveraging an experienced Virtual Operations team can make available the right skill at the right time. A more detailed description of the activities and the skill sets required is the subject of another paper. 1 One major goal of this phase of activities is to develop a detailed Operations Plan including implementation details for chip prototyping, qualification and the ramp into production. This must include make/buy decisions, a hiring plan and execution of contracts and business relationships with the supply chain partners. Finally, the resources needed to support the qualification, ramp and production will increase to a full operations staff needed to manage the supply chain and sustain the I.C. in production. Emerging companies have many choices in the execution of these phases. Glue-ware is essential and can be provided by the appropriate Virtual Operations team to varying degrees depending on the supply chain implementation model that is adopted. As the company grows it will likely want to consider building an Internal Operations team. The right Virtual Operations team should play a key role in the planning and staffing of such an internal team. It is important to note that such a Virtual operations team complements services provided by Fabless ASIC suppliers such as esilicon in the prototyping and production phases. The Virtual Ops team can be thought of as a strategic partner and an extension of the Fabless IC team that helps formulate and launch an Operations Strategy. It is also important to note that the Global Planning activity can be very helpful even for the Emerging Fabless companies that choose to implement their ICs using the traditional ASIC sourcing model an RTL or Netlist hand-off to an ASIC supplier such as LSI Logic. Conclusion Emerging companies can leverage a Virtual Operations model to cost-effectively manage their SOC development and implementation. The more distributed the selected supply chain the more important it is to leverage this recommended approach for accessing the glueware that is essential for meeting schedules and the overall business objectives. References: 1. B. Henderson and R. Kumar, Addressing the Operations Gaps at Emerging Fabless Companies, FSA Fabless Forum, Vol. 10, No. 1, March 2003 2. M. Bapst and W. Locke, COT: post design considerations, EE Times, November 25, 2002 3. A. Simon and R. Vogel, ASIC to COT: knowing your outsourcing options, EE Times, November 25, 2002 4 12/11/2002

About the Authors Dr. Rakesh Kumar is President of, a newly formed consulting services company. Previously he was VP&GM of the Silicon Technology business unit at Cadence Design Systems and Tality. During his 29 years of industry experience Rakesh has also been at Unisys and Motorola where he held various technical and management positions with increasing responsibility. He has chaired and served on the Steering committee of the Custom IC Conference for many years. rakesh@tcxinc.com 858.748.4624 Brian Henderson is a partner at, with over 18 years experience in many areas of semiconductor technology. Previously he was Director of Semiconductor Operations at Triton Network Systems where he had responsibility for managing the entire semiconductor supply chain for volume I.C. production including Foundry, Product, Test, Supplier Management and the ASIC Physical Design functions. Prior to this he was at CommQuest Technologies where he was responsible for transitioning ASIC, mixed signal and RF devices into IBM's technology suite. Before joining CommQuest in 1995, Brian was at Unisys Corp. in San Diego in CMOS and BiCMOS process development and was responsible for migrating Unisys' ASICs to offshore foundry suppliers. Brian holds a BSEE and an MSEE degree in Microelectronics from the University of Edinburgh and has several patents and publications. brianh@tcxinc.com 858.748.4624 5 12/11/2002