EE C247B ME C218 Introduction to MEMS Design Spring 2017

Similar documents
EE 245: Introduction to MEMS Lecture 7m1: Lithography, Etching, & Doping CTN 9/18/ Regents of the University of California

EE C245 ME C218 Introduction to MEMS Design Fall 2009

Fabrication Technology, Part I

3. Photolithography, patterning and doping techniques. KNU Seminar Course 2015 Robert Mroczyński

Ion Implantation Most modern devices doped using ion implanters Ionize gas sources (single +, 2+ or 3+ ionization) Accelerate dopant ions to very

Czochralski Crystal Growth

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

The Physical Structure (NMOS)

1. Introduction. What is implantation? Advantages

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Microelettronica. Planar Technology for Silicon Integrated Circuits Fabrication. 26/02/2017 A. Neviani - Microelettronica

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

Chemical Vapor Deposition

EECS130 Integrated Circuit Devices

Chapter 3 Silicon Device Fabrication Technology

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Total Points = 110 possible (graded out of 100)

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Changing the Dopant Concentration. Diffusion Doping Ion Implantation

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

EELE408 Photovoltaics Lecture 02: Silicon Processing

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

IC/MEMS Fabrication - Outline. Fabrication

MICROCHIP MANUFACTURING by S. Wolf

Silicon Manufacturing

EE C245 ME C218 Introduction to MEMS Design Fall 2011

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Midterm evaluations. Nov. 9, J/3.155J 1

Make sure the exam paper has 9 pages total (including cover page)

Fabrication Technology

Lecture 0: Introduction

Doping and Oxidation

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

EE 330 Lecture 9. IC Fabrication Technology Part 2

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Lecture #18 Fabrication OUTLINE

Chapter 2 Manufacturing Process

EE C245 ME C218 Introduction to MEMS Design Fall 2007

Fabrication and Layout

Microelectronic Device Instructional Laboratory. Table of Contents

Review of CMOS Processing Technology

Regents of the University of California

Chapter 2 MOS Fabrication Technology

Chapter 3 CMOS processing technology

Problem 1 Lab Questions ( 20 points total)

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

MEMS Fabrication. Beyond Integrated Circuits. MEMS Basic Concepts

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Ion Implantation Most modern devices doped using ion implanters Implant dopants by accelerating individual atoms (ions) Ionize gas sources (single +,

Today s Class. Materials for MEMS

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

Process Flow in Cross Sections

CHAPTER 8: Diffusion. Chapter 8

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

EE C245 ME C218 Introduction to MEMS Design Fall 2010

Silicon Epitaxial CVD Want to create very sharp PN boundary grow one type layer on other in single crystal form High dopant layers on low dopant

L5: Micromachining processes 1/7 01/22/02

Lecture 1A: Manufacturing& Layout

Semiconductor Manufacturing Process 10/11/2005

Microfabrication of Integrated Circuits

EE C245 ME C218 Introduction to MEMS Design

Chapter 4. UEEP2613 Microelectronic Fabrication. Oxidation

Lecture 22: Integrated circuit fabrication

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Graduate Student Presentations

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Atomic Layer Deposition(ALD)

FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Section 4: Thermal Oxidation. Jaeger Chapter 3

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Semiconductor Technology

Complexity of IC Metallization. Early 21 st Century IC Technology

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

Physical Vapor Deposition (PVD) Zheng Yang

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Chapter 5. UEEP2613 Microelectronic Fabrication. Diffusion

MEMS Fabrication I : Process Flows and Bulk Micromachining

INTEGRATED-CIRCUIT TECHNOLOGY

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Department of Electrical Engineering. Jungli, Taiwan

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Transcription:

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping EE C247B ME C218 Introduction to MEMS Design Spring 2017 Prof. Clark T.-C. Nguyen Dept. of Electrical Engineering & Computer Sciences University of California at Berkeley Berkeley, CA 94720 Lecture Module 4 Lithography, Etching, & Doping EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 1 Lecture Outline Reading Senturia, Chpt. 3; Jaeger, Chpt. 2, 4, 5 Lithography Etching Wet etching Dry etching Semiconductor Doping Ion implantation Diffusion EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 2 1

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Lithography EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 3 Lithography Lithography Method for massive patterning of features on a wafer pattern billions of devices in just a few steps Four Main Components (that affect resolution) Designated pattern (clear or dark field) emulsion chrome Generated from layout III. Photoresist IV. Exposure System optics I. Radiation Source II. Mask Mask (glass/quartz) Photoresist (~1m-thick) Film to be patterned (e.g., poly-) contact, step and repeat this is where the real art is! EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 4 2

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Lithography (cont.) The basic Process (Positive Resist Example) Exposed converts light to another form after reaction with light (e.g., (+)-resist polymer organic acid) Dip or spray wafer with developer if (+) resist, developer is often a base Etch protects film; open areas of film get etched Film Film Film Remove EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 5 Lithography (cont.) With each masking step usually comes a film deposition, implantation and/or etch. Thus, the complexity of a process is often measured by # masks required. NMOS 4-6 masks Bipolar 8-15 masks BICMOS ~20 masks CMOS 8-28 masks Multi-level metallization Comb-Drive Resonator 3 masks GHz Disk 4 masks Now, take a closer look at the 4 components EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 6 3

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping I. Radiation Source I. Radiation Source Several types optical, (visible, UV, deep UV light), e-beam, X-ray, ion beam The shorter the wavelength Better the resolution Today s prime choice due to cost and throughput. Can expose billions Optical Sources of devices at once! Mercury arc lamp (mercury vapor discharge) we have 200 365 405 435 546 nm all of these in our lab I-line G-line (we have both in our lab) For deep UV, need Excimer laser (very expensive) Glass opaque, so must use quartz mask and lens EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 7 II. Mask II. Mask has become one of today s biggest bottlenecks! Electronic computer representation of layout (e.g., CIF, GDSII) A single file contains all layers tape mask generator Masks for each layer Mask Material Fused silica (glass) inexpensive, but larger thermal expansion coeff. Quartz expensive, but smaller thermal expansion coeff. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 8 4

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping III. Photoresist (optical) Negative Positive Pictorial Description develop develop Exposed Area remains removed EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 9 III. Photoresist (optical) Mechanism Negative photoactivation Polymerization (long, linked Carbon chains) Developer solvent removes unexposed Positive photoactivation Converts exposed to organic acid Alkaline developer (e.g.,koh) removes acid EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 10 5

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping III. Photoresist (optical) Issues Negative Polymerized swells in solvent bridging problem Exposed and polymerized Positive Doesn t adhere well to O 2 Need primer HMDS (hexamethyl disilazane) O 2 Poor adhesion HMDS O 2 Good adhesion at both HMDS interfaces EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 11 Typical Procedure for Lithography Clean Wafer Dry Wafer Deposit HMDS Spin-on Soft Bake Align & Expose Very important step 30 min. @ 120 C pre-bake Topography very important (for oxide on wafer surface) 30-60 sec @ Thicker and unfocused 1000-5000 rpm 2 min @ 90 C overexpose underexpose Improve adhesion and remove solvent from Oxygen plasma (low power ~ 50W) Develop Descum Post Bake EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 12 6

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping IV. Exposure System/Optics Proximity Printing Contact Printing Photoresist Photoresist Mask in contact with wafer Problem mask pattern can become damaged with each exposure must make a new mask after x number of exposures Mask in very close proximity but not touching 1X printing very useful for MEMS can expose surfaces with large topography (where reduction printers cannot) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 13 IV. Exposure System/Optics Projection Printing Dominates in IC transistor fabrication 5X or 10X reduction typical Mask minimum features can be larger than the actual printed features by the focused reduction factor less expensive mask costs Less susceptible to thermal variation (in the mask) than 1X printing Can use focusing tricks to improve yield mask Photoresist Step & repeat EE C245 Introduction to MEMS Design Dust particle will be out of focus better yield! wafer LecM 4 C. Nguyen 8/20/09 14 7

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Etching EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 15 Etching Basics Removal of material over designated areas of the wafer Two important metrics 1. Anisotropy 2. Selectivity 1. Anisotropy a) Isotopic Etching (most wet etches) d d f h If 100% isotropic d f = d + 2h Define B = d f d If B = 2h isotropic EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 16 8

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Etching Basics (cont.) b) Partially Isotropic B < 2h (most dry etches, e.g., plasma etching) Degree of Anisotropy (definition) B A f 1 0 if 100% isotropic 2h 0 A 1 f anisotropic EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 17 2. Selectivity - Etching Basics (cont.) Poly- O 2 Ideal Etch Poly- O 2 Only poly- etched (no etching of or O 2 ) Actual Etch Perfect selectivity Poly- O 2 partially etched O 2 partially etched after some overetch of the polysilicon EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 18 9

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Etching Basics (cont.) Why overetch? 45 2d 1.4d 0.56m 1 0.4m Thicker spots due to topography! 0.4m d Poly- conformal if deposited by LPCVD 10nm Gate oxide Thus, must overetch at least 40% 40% overetch (0.4)(0.4) = 0.16 m poly =??? oxide Depends on the selectivity of poly- over the oxide EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 19 Etching Basics (cont.) Define selectivity of A over B S ab E. R. E. R. a b Etch rate of A Etch rate of B Selectivity of A over B e.g., wet poly etch (HNO 3 + NH 4 + H 2 O) S poly O 2 15 1 (very good selectivity) S poly e.g., polysilicon dry etch 5 7 S poly O 2 1 4 S poly 1 Very high (but can still peel off after soaking for > 30 min., so beware) Regular RIE (but depends on type of etcher) ECR 301 Bosch 1001 (or better) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 20 10

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Etching Basics (cont.) 8 If S O poly 2 40% overetch removes 1 0.16 20 nm of oxide! 8 with better selectivity 30 e.g., S O poly 2 1 (Can attain with high density Cl plasma ECR etch!) 0.16 40% overetch removes 5.3nm (better) 30 This will etch all poly over the thin oxide, etch thru the 10nm of oxide, then start etching into the silicon substrate needless to say, this is bad! EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 21 Wet Etching EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 22 11

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Wet Etching Wet etching dip wafer into liquid solution to etch the desired film Generally isotropic, thus, inadequate for defining features < 3m-wide wafer etch Solvent bath General Mechanism - Film to be etched o Reactant o o Reaction products 1. Diffusion of the reactant to the film surface 2. Reaction adsorption, reaction, desorption 3. Diffusion of reaction products from the surface EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 23 Wet Etching (cont.) There are many processes by which wet etching can occur Could be as simple as dissolution of the film into the solvent solution Usually, it involves one or more chemical reactions Oxidation-reduction (redox) is very common (a) Form layer of oxide (b) Dissolve/react away the oxide Advantages 1. High throughput process can etch many wafers in a single bath 2. Usually fast etch rates (compared to many dry etch processes) 3. Usually excellent selectivity to the film of interest EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 24 12

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Wet Etching Limitations 1. Isotropic Limited to <3m features But this is also an advantage of wet etching, e.g., if used for undercutting for MEMS 2. Higher cost of etchants & DI water compared w/ dry etch gas expenses (in general, but not true vs. deep etchers) 3. Safety Chemical handling is a hazard 4. Exhaust fumes and potential for explosion Need to perform wet etches under hood 5. Resist adhesion problems Need HMDS (but this isn t so bad) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 25 Wet Etch Limitations (cont.) 6. Incomplete wetting of the surface Solvent bath wafer Pockets where wetting hasn t occurred, yet (eventually, it will occur). Wetted surface But this will lead to nonuniform etching across the wafer. For some etches (e.g., oxide etch using HF), the solution is to dip in DI water first, then into HF solution the DI water wets the surface better EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 26 13

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Wet Etch Limitations (cont.) 7. Bubble formation (as a reaction by-product) If bubbles cling to the surface get nonuniform etching Film to be etched wafer Bubble (gaseous by-product) Non-uniform etching Solution Agitate wafers during reaction. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 27 Some Common Wet Etch Chemistries Wet Etching licon Common + HNO 3 + 6HF (isotropic) (nitric acid) (hydrofluoric acid) H 2 F 6 + HNO 2 + H 2 + H 2 O (1) forms a layer of O 2 (2) etches away the O 2 Different mixture combinations yield different etch rates. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 28 14

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping licon Crystal Orientation z a (100) plane z a z (110) plane(110) plane a [111] y y y x [100] <110> 0] plane @ coordinate to this vector (1,0,0) <100> plane to this vector licon has the basic diamond structure Two merged FCC cells offset by (a/4) in x, y, and z axes From right # available bonds/cm 2 <111> # available bonds/cm 2 <110> # available bonds/cm 2 <100> EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 29 x [110] [110] (111) plane coordinate x (1,1,0) @ coordinate defines (1,1,1), <111> plane vector to resulting vector Increasing Anisotropic Wet Etching Anisotropic etches also available for single crystal Orientation-dependent etching <111>-plane more densely packed than <100>-plane Faster E.R. Slower E.R. in some solvents One such solvent KOH + isopropyl alcohol (e.g., 23.4 wt% KOH, 13.3 wt% isopropyl alcohol, 63 wt% H 2 O) E.R. <100> = 100 x E.R. <111> EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 30 15

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Anisotropic Wet Etching (cont.) Can get the following <111> <100> O 2 54.7 (on a <100> - wafer) <110> <111> O 2 (on a <110> - wafer) Quite anisotropic! EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 31 Wet Etching O 2 O 2 + 6HF H 2 + F 6 + 2H 2 O Generally used to clear out residual oxides from contacts bubble 300nm HF nt Problem Contact hole is so thin that surface tensions don t allow the HF to get into the contact Generally the case for VLSI circuits oxide native oxide can get this just by exposing to air 1-2nm-thick Solution add a surfactant (e.g., Triton X) to the BHF before the contact clear etch 1. Improves the ability of HF to wet the surface (hence, get into the contact) 2. Suppresses the formation of etch by-products, which otherwise can block further reaction if by-products get caught in the contact EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 32 16

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping More Wet Etch Chemistries Wet etching silicon nitride Use hot phosphoric acid 85% phosphoric acid @ 180 o C Etch rate ~ 10 nm/min (quite slow) Problem lifted during such etching Solution use O 2 as an etch mask (E.R. ~2.5 nm/min) A hassle dry etch processes more common than wet Wet etchining aluminum Typical etch solution composition 80% phoshporic acid, 5% nitric acid, 5% acetic acid, 10% water (H 2 PO 4 ) (HNO 3 ) (CH 3 COOH) (H 2 O) (1) Forms Al 2 O 3 (aluminum oxide) (2) Dissolves the Al 2 O 3 Problem H 2 gas bubbles adhere firmlly to the surface delay the etch need a 10-50% overetch time Solution mechanical agitation, periodic removal of wafers from etching solution EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 33 Wet Etch Rates (f/ K. Williams) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 34 17

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping For some popular films Film Etch Chemistries EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 35 Dry Etching EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 36 18

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Physical sputtering Plasma etching Reactive ion etching ~ + + + + + + + + + Dry Etching All based upon plasma processes. RF (also, could be wave) Develop (-) bias (+) ions generated by inelastic collisions with energetic e -1 s Get avalanche effect because more e -1 s come out as each ion is generated. Plasma (partially ionized gas composed of ions, e - s, and highly reactive neutral species) E-field wafer Develops (+) charge to compensate for (+) ions will be accelerated to the wafer EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 37 Physical Sputtering (Ion Milling) Bombard substrate w/ energetic ions etching via physical momentum transfer Give ions energy and directionality using E-fields Highly directional very anisotropic ions plasma film Steep vertical wall EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 38 19

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Problems With Ion Milling etched down to here Once through the film, the etch will start barreling through the film 1. or other masking material etched at almost the same rate as the film to be etched very poor selectivity! 2. Ejected species not inherently volatile get redeposition non-uniform etch grass! Because of these problems, ion milling is not used often (very rare) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 39 Plasma Etching Plasma (gas glow discharge) creates reactive species that chemically react w/ the film in question Result much better selectivity, but get an isotropic etch Plasma Etching Mechanism 1. Reactive species generated in a plasma. 2. Reactive species diffuse to the surface of material to be etched. 3. Species adsorbed on the surface. 4. Chemical reaction. 5. By-product desorbed from surface. 6. Desorbed species diffuse into the bulk of the gas MOST IMPORTANT STEP! (determines whether plasma etching is possible or not.) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 40 1 2 plasma 5 6 3 4 Film to be etched 20

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Ex Polysilicon Etching w/ CF 4 and O 2 CF 4 plasma CF 4+ + CF 3+ + CF 2+ + CF + + F + + F 0 + CF 2+ + Neutral radical (highly reactive!) e - + CF 4 CF 3 + F + e - CF 6, F 4 both volatile dry etching is possible. F is the dominant reactant but it can t be given a direction thus, get isotropic etch! isotropic component F 0 F 0 F 4 poly EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 41 Ex Polysilicon Etching w/ CF 4 and O 2 isotropic component F 0 F 0 F 4 poly Problems 1. Isotropic etching 2. Formation of polymer because of C in CF 4 Solution add O 2 to remove the polymer (but note that this reduces the selectivity, S poly/ ) Solution Use Reactive Ion Etching (RIE) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 42 21

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Reactive Ion Etching (RIE) Use ion bombardment to aid and enhance reactive etching in a particular direction Result directional, anisotropic etching! RIE is somewhat of a misnomer It s not ions that react rather, it s still the neutral species that dominate reaction Ions just enhance reaction of these neutral radicals in a specific direction Two principle postulated mechanisms behind RIE 1. Surface damage mechanism 2. Surface inhibitor mechanism EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 43 RIE Surface Damage Mechanism reactive radical plasma + + + film Enhanced reaction over Relatively high energy impinging ions (>50 ev) produce lattice damage at surface Reaction at these damaged sites is enhanced compared to reactions at undamaged areas Result E.R. at surface >> E.R. on sidewalls EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 44 22

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping RIE Surface Inhibitor Mechanism reactive radical film plasma + + + Non-volatile polymer layers are a product of reaction They are removed by high energy directional ions on the horizontal surface, but not removed from sidewalls no reaction (+) ions breakup the polymer layer get reaction Result E.R. @ surface >> E.R. on sidewalls EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 45 Deep Reactive-Ion Etching (DRIE) The Bosch process Inductively-coupled plasma Etch Rate 1.5-4 m/min Two main cycles in the etch Etch cycle (5-15 s) SF 6 (SF x+ ) etches Deposition cycle (5-15 s) C 4 F 8 deposits fluorocarbon protective polymer (CF 2- ) n Etch mask selectivity O 2 ~ 2001 Photoresist ~ 1001 Issue finite sidewall roughness scalloping < 50 nm dewall angle 90 o ± 2 o EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 46 23

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping DRIE Issues Etch Rate Variance Etch rate is diffusion-limited and drops for narrow trenches Adjust mask layout to eliminate large disparities Adjust process parameters (slow down the etch rate to that governed by the slowest feature) Etch Etch rate rate decreases with with trench trench width width EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 47 Semiconductor Doping EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 48 24

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Semiconductors are not intrinsically conductive To make them conductive, replace silicon atoms in the lattice with dopant atoms that have valence bands with fewer or more e - s than the 4 of If more e - s, then the dopant is a donor P, As The extra e - is effectively released from the bonded atoms to join a cloud of free e - s, free to move like e - s in a metal Doping of Semiconductors Extra free e -.. P. Dope P. The larger the # of donor atoms, the larger the # of free e - s the higher the conductivity EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 49 Doping of Semiconductors (cont.) Conductivity Equation conductivity electron mobility q n n q If fewer e - s, then the dopant is an acceptor B electron density.. B. Dope charge magnitude on an electron Lack of an e - = hole = h + When e - s move into h + s, the h + s effectively move in the opposite direction a h + is a mobile (+) charge carrier EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 50 p p hole mobility. hole density B. hole 25

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Ion Implantation EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 51 Ion Implantation Method by which dopants can be introduced in silicon to make the silicon conductive, and for transistor devices, to form, e.g., pn-junctions, source/drain junctions, The basic process Control current & time to control the dose. B+ B+ B+ B+ B+ Charged dopant accelerated to high energy by an E-Field (e.g., 100 kev) Masking material (could be, could be oxide, etc.) Result of I/I B-B-B-Bx Depth determined by energy & type of dopant EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 52 26

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Ion Implantation (cont.) Result of I/I Ion collides with atoms and interacts with e - s in the lattice all of which slow it down and eventually stop it. B B Damage layer at top becomes amorphous B not in the lattice, so it s not electrically active. High Temperature Anneal (also, usually do a drive-in diffusion) (800-1200 C) Now B in the lattice & electrically active! (serves as dopant) This is a statistical process implanted impurity profile can be approximated by a Gaussian distribution. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 53 Statistical Modeling of I/I Impurity concentration One std. dev. away 0.61N p N(x) N p Unlucky ions Avg. ions Lucky ions 2 std. dev. away 0,14N p 3 std. dev. away 0.11N p R p R p R p R p R p Distance into material, x Rp R p Projected range = avg. distance on ion trends before stopping Straggle = std. deviation characterizing the spread of the distribution. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 54 27

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Mathematically Area under the impurity distribution curve Analytical Modeling for I/I N( x) exp 2 x R p 2 Rp N p 2 0 2 Implanted Dose = Q N ( x) dx ions / cm For an implant completely contained within the Q 2 N p R p Assuming the peak is in the silicon (putting it in one-sided diffusion form) So we can track the dopant front during a D I Q subsequent diffusion step. 2 2 D 2 x R I p Rp N( x) exp 2, where Dteff Dt 2R p eff 2 EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 55 I/I Range Graphs, R p Roughly proportional to ion energy R p ion energy (some nonlinearties) Figure 6.1 R p is a function of the energy of the ion and atomic number of the ion and target material Lindhand, Scharff and Schiott (LSS) Theory Assumes implantation into amorphous material, i.e, atoms of the target material are randomly positioned Yields the curves of Fig. 6.1 and 6.2 For a given energy, lighter elements strike with higher velocity and penetrate more deeply EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 56 28

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping I/I Straggle Graphs Results for and O 2 surfaces are virtually identical so we can use these curves for both Figure 6.2 EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 57 Diffusion EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 58 29

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Diffusion in licon Movement of dopants within the silicon at high temperatures Three mechanisms (in ) Substitutional Diffusion Interstitialcy Diffusion Impurity moves along Impurity atom vacancies in the lattice replaces a atom in the lattice Substitutes for a atom in the lattice atom displaced to an interstitial site Interstitial Diffusion Impurity atoms jump from one interstitial site to another Get rapid diffusion Hard to control Impurity not in lattice so not electrically active EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 59 Diffusion in Polysilicon In polysilicon, still get diffusion into the crystals, but get more and faster diffusion through grain boundaries Result overall faster diffusion than in silicon Fast diffusion through grain boundaries Regular diffusion into crystals In effect, larger surface area allows much faster volumetric diffusion EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 60 30

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Basic Process for Selective Doping 1. Introduce dopants (introduce a fixed dose Q of dopants) (i) Ion implantation (ii) Predeposition 2. Drive in dopants to the desired depth High temperature > 900 o C in N 2 or N 2 /O 2 Result dopants Drive-in EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 61 Predeposition Furnace-tube system using solid, liquid, or gaseous dopant sources Used to introduced a controlled amount of dopants Unfortunately, not very well controlled Dose (Q) range 10 13 10 16 ± 20% For ref w/ ion implantation 10 11 10 16 ± 1% (larger range & more accurate) Example Boron predeposition Furnace tube Gases O 2, B 2 H 6 wafer O 2 + B 2 H 6 + carrier gas diborane (Inert gas e.g., N 2 or Ar) boat Predeposition Temp 800-1100 C EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 62 31

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Ex Boron Predeposition Basic Procedure 1. Deposit B 2 O 3 glass O 2 O 2 B B B B B B O 2 diffusion barrier (masks out dopants) 2. B diffuses from B 2 O 3 Difficult to control dose Q, because it s heavily dependent on partial pressure of B 2 H 6 gas flow this is difficult to control itself get only 10% uniformity Furnace tube cross-section Less B concentration EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 63 Ex Boron Predeposition (cont.) For better uniformity, use solid source Furnace tube wafer Boron/Nitride wafer 2% uniformity Reactions B 2 H 6 + 3O 2 3H 2 O + B 2 O 3 + O 2 O 2 EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 64 32

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping General Comments on Predeposition Higher doses only Q = 10 13 10 16 cm -2 (I/I is 10 11 10 16 ) Dose not well controlled ± 20% (I/I can get ± 1%) Uniformity is not good ± 10% w/ gas source ± 2% w/ solid source Max. conc. possible limited by solid solubility Limited to ~10 20 cm -3 No limit for I/I you force it in here! For these reasons, I/I is usually the preferred method for introduction of dopants in transistor devices But I/I is not necessarily the best choice for MEMS I/I cannot dope the underside of a suspended beam I/I yields one-sided doping introduces unbalanced stress warping of structures I/I can do physical damage problem if annealing is not permitted Thus, predeposition is often preferred when doping MEMS EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 65 Diffusion Modeling EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 66 33

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Diffusion Modeling (cont.) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 67 Diffusion Modeling (Predeposition) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 68 34

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Diffusion Modeling (Limited Source) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 69 Diffusion Modeling (Limited Source) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 70 35

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Two-Step Diffusion Two step diffusion procedure Step 1 predeposition (i.e., constant source diffusion) Step 2 drive-in diffusion (i.e., limited source diffusion) For processes where there is both a predeposition and a drive-in diffusion, the final profile type (i.e., complementary error function or Gaussian) is determined by which has the much greater Dt product (Dt) predep» (Dt) drive-in impurity profile is complementary error function (Dt) drive-in» (Dt) predep impurity profile is Gaussian (which is usually the case) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 71 Successive Diffusions For actual processes, the junction/diffusion formation is only one of many high temperature steps, each of which contributes to the final junction profile Typical overall process 1. Selective doping Implant effective (Dt) 1 = (R p ) 2 /2 (Gaussian) Drive-in/activation D 2 t 2 2. Other high temperature steps (eg., oxidation, reflow, deposition) D 3 t 3, D 4 t 4, Each has their own Dt product 3. Then, to find the final profile, use Dt Diti tot in the Gaussian distribution expression. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 72 i 36

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping The Diffusion Coefficient D Do exp EA kt (as usual, an Arrhenius relationship) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 73 Diffusion Coefficient Graphs Substitutional & Interstitialcy Diffusers Interstitial Diffusers Note the much higher diffusion coeffs. than for substitutional EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 74 37

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Metallurgical Junction Depth, x j x j = point at which diffused impurity profile intersects the background concentration, N B Log[N(x)] N O e.g., p-type Gaussian e.g., n-type N O -N B Log[N(x)-N B ] Net impurity conc. p-type region N B N B n-type region x j x = distance f/ surface x j x = distance f/ surface EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 75 Expressions for x j Assuming a Gaussian dopant profile (the most common case) N x Dt 2 j x j, t No exp NB 2 x j 2 N Dt ln N o B For a complementary error function profile N x Dt 2 j x j, t Noerfc NB x j 2 Dterfc 1 N N B o EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 76 38

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Sheet Resistance Sheet resistance provides a simple way to determine the resistance of a given conductive trace by merely counting the number of effective squares Definition What if the trace is non-uniform? (e.g., a corner, contains a contact, etc.) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 77 # Squares From Non-Uniform Traces EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 78 39

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Sheet Resistance of a Diffused Junction For diffused layers Sheet resistance R s x j Effective resistivity o x x dx 1 1 x dx This expression neglects depletion of carriers near the junction, x j thus, this gives a slightly lower value of resistance than actual Above expression was evaluated by Irvin and is plotted in Irvin s curves on next few slides Illuminates the dependence of R s on x j, N o (the surface concentration), and N B (the substrate background conc.) EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 79 j x j Majority carrier mobility o qn [extrinsic material] Net impurity concentration Irvin s Curves (for n-type diffusion) Example. p-type Given N B = 3x10 16 cm -3 N o = 1.1x10 18 cm -3 (n-type Gaussian) x j = 2.77 m Can determine these given known predep. and drive conditions Determine the R s. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 80 40

EE247B/ME218 Introduction to MEMS Design Module 4 Lithography, Etching, & Doping Irvin s Curves (for p-type diffusion) Example. n-type Given N B = 3x10 16 cm -3 N o = 1.1x10 18 cm -3 (p-type Gaussian) x j = 2.77 m Can determine these given known predep. and drive conditions Determine the R s. EE C245 Introduction to MEMS Design LecM 4 C. Nguyen 8/20/09 81 41