Post CMP Defects; Their Origin and Removal

Similar documents
Post-CMP Cleaning: Interaction between Particles and Surfaces

CMP Scratches; Their Detection and Analysis on Root Causes

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

MICROCHIP MANUFACTURING by S. Wolf

SLURRY FORMULATION OPTIONS

Effect of Additives in Diluted HF Solutions on Removal of Metal Contaminants and Particles on Silicon Wafer

Simple Cubic Crystal

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

The Effect of Frictional and Adhesion Forces Attributed to Slurry Particles on the Surface Quality of Polished Copper

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Czochralski Crystal Growth

Key Factors that Influence Step Height Reduction Efficiency and Defectivity during Metal CMP

1.1 Background Cu Dual Damascene Process and Cu-CMP

Introducing Advanced PCMP Cleaning Solutions

2008 Summer School on Spin Transfer Torque

Post Cleaning Chemical of Tungsten Chemical Mechanical Planarization for Memory Devices. Jun Yong Kim Cleaning/CMP Technology

A Functional Micro-Solid Oxide Fuel Cell with. Nanometer Freestanding Electrolyte

A Nano-thick SOI Fabrication Method

April 11, th Surface Preparation and Cleaning Conference (SPCC) Department of 1 Bionanotechnology and 2

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

Overview of Dual Damascene Cu/Low-k Interconnect

Notable Trends in CMP: Past, Present and Future

Thermal Oxidation and Growth of Insulators (Chapter 3 - Jaeger 3) Key advantage of Si: Oxidation of Si into SiO 2 (glass) Major factor in making

4th Annual SFR Workshop, Nov. 14, 2001

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

INTEGRATED-CIRCUIT TECHNOLOGY

Fabrication Technology, Part I

Integration Issues with Cu CMP

FRONT END PROCESSES - CLEANING, LITHOGRAPHY, OXIDATION ION IMPLANTATION, DIFFUSION, DEPOSITION AND ETCHING

Fabrication of sub-100nm thick Nanoporous silica thin films

Fundamentals of Post-CMP Cleaning of Dielectric Surface Contaminated with Ceria (Nano-to-Micro) Particles

Wafer Cleaning and Oxide Growth Laboratory Dr. Lynn Fuller Webpage:

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Status and Challenges in EUV Mask Cleaning

Understanding and Reducing Copper Defects

The History & Future of

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

CMPUG June 5, Lily Yao, Bob Small,Ph.D, KZ Kadowaki, Srini Raghavan, University of Arizona

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

EECS130 Integrated Circuit Devices

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

Effect of temperature on copper chemical mechanical planarization

Optimized CMP of ULK Dielectrics

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

CMP Defects and Evolution of PCMP Cleans

Research Activities on Defect Improvement of CMP Process in 1x nm Foundry Device

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Evaluation of Copper CMP Process Characterization Wafers

Atomic Layer Deposition(ALD)

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

OXIDE STOP-IN-FILM CMP: NEW CHALLENGES FOR THE NEXT GENERATION MEMORY DEVICES. Andrey Zagrebelny, Sony Varghese, Andrew Carswell

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Lecture #18 Fabrication OUTLINE

Chapter 3 Silicon Device Fabrication Technology

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Welcome MNT Conference 1 Albuquerque, NM - May 2010

Copper Interconnect Technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Lab #2 Wafer Cleaning (RCA cleaning)

Cleanroom, Wafer Clean and Gettering

An optimization study on the anisotropic TMAH wet etching of silicon (100)

High Aspect Ratio Silicon Wire Array Photoelectrochemical Cells

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

CMP Applications and Issues Part 3

Chemical Mechanical Planarization

Physical Vapor Deposition (PVD) Zheng Yang

MEMS Surface Fabrication

NCAVS CMPUG Meeting July 16, 2009

Introduction to Cleanroom

Fabrication of MoS 2 Thin Film Transistors via Novel Solution Processed Selective Area Deposition

IC Fabrication Technology Part III Devices in Semiconductor Processes

Chapter 2 Manufacturing Process

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Transfer Printing of Thermoreversible Ion Gels for Flexible Electronics

Copyright Fujimi Corporation 2013

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Semiconductor Technology

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

NSF Center for Micro and Nanoscale Contamination Control

3D technologies for integration of MEMS

High Barrier Multi-Layer Parylene Coating

Advanced STI CMP Solutions for New Device Technologies

Regents of the University of California 1

Exam 1 Friday Sept 22

Thermodynamic and Kinetic Stability of Nanobubbles at the Water-Solid Interface: A Modeling and AFM Study

Lecture 5: Micromachining

CHEMICAL METAL PRE-TREATMENT

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Transcription:

2007 Levitronix CMP Users Conference Post CMP Defects; Their Origin and Removal Jin-Goo Park Div. of Materials and Chemical Engineering, Hanyang University, Ansan 426-791, Korea February 15, 2007 KOTEF Lab of Excellence

Introduction to EMPL The Electronic Materials and Processing Laboratory (EMPL) started at Hanyang University in 1994. EMPL s research focus on the surface and colloidal phenomena in the area of semiconductor and electronic materials and processing. Cleaning CMP BioMEMS Laser Shock Cleaning Ozone Cleaning Single Type Megasonic Cleaning Post CMP Cleaning IPA Drying Metal CMP (Cu, Ru, Pt, Al and etc) Oxide and Poly-Si CMP ECMP Slurry Consumables Bio-Chip/MEMS Fabrication Mold Fabrication Surface Modification

Cleaning Research at Hanyang University Nano Particles Adhesion/Removal Mechanism Experimental/Theoretical Interpretation Quantitative/Qualitative Interpretation N Non-RCA Wet Chemistry Ozone Chelating agents Surfactants High k/low k cleanings N Nano-level Defect Free Cleaning Damage Free Dry Cleaning Laser Shock Cleaning Pattern Damage Force Measurements Process Drying Technology IPA/water solutions Marangoni Effects D Nano Surface Characterization Electrokinetic Adhesion force

EMPL Infra-Structure Cleanroom (Class 10, 100 Wet station @ 2 and 1000) DI water Generator (500 lpm) IPA Dryer Brush Scrubber Megasonic Cleaner KLA-Tencor Particle Scanner, 6200 Nanometer Particle Scanner Atomic Force Microscopy Zeta-potential Analyzer 273 EG&G Potentiostat Cleaning Equip. Charactrization CMP Equip. E-CMP Polisher (4 ) CMP Polisher (6 ) Friction Polisher (4, 6 and 8 ) Samsung Hynix Intel, IBM Dongwoo MOICE KOSEF Doosan Siltron, LGM IMT KOTEF Lab of Excellence in Cleaning Nano-level Defect Free Wafer Cleaning Students (29) Ph.Ds: 4 Masters: 16 Undergrads: 8 Secretary: 1 Korea Cleaning UGM Korea CMPUGM

New Cleanroom Total Construction Space 1,800 sq ft 12,000 8,875 3,125 Wet Bench Wafer Brush Scrubber Wet Station Ozone Wet Station Smock Room 7,200 5,750 Laser Shock Cleaning System Optical microscope Fluorescence microscope Classroom (Class 10, EUV Controller ~700 sq ft) Chemical Stocker 1,450 Laminar Flow Hood & Surface Scan EUV Cleaning System AFM MCC U/T R.A S.A E.P.S. Fix Window

Outline Introduction to Wet Cleaning Post CMP Cleaning Effect of Slurry, Pads & Surfaces on Defects Slurry and Cleaning Solution Evaluation Summary

Next Generation Surface Preparation Issues Challenges Nanometer Feature Size New Materials Nanometer Thin Film Single Wafer Cleaning CMP Process EUVL Process 3D Device Clean without Etching - Non RCA (H 2 O 2 based) Chemistry Clean without Pattern Damage - No Megasonics and Brushes CMP Induced Defects Zero Defect on EUVL Mask 65nm poly Si lines

Semiconductor Cleaning Particle Organic contaminant Native oxide Interaction Force Attached Particle Metal Si Wafer Wet Cleaning ex) SC1, SC2, Piranha, HF etc Dry Cleaning ex) Laser shock cleaning, Plasma, Anhydrous HF, Jet Fluid, Cryogenic etc

Traditional Wafer Cleaning Chemicals SC-1(NH 4 OH+H 2 O 2 +H 2 O=1:1:5 at 80 ~ 90 C) - Particles and organic contamination removal SC-2(HCl+H 2 O 2 +H 2 O=1:1:5) at 80 ~ 90 C ) - Trace and Noble Metal removal Piranha(H 2 SO 4 :H 2 O 2 =4:1 at 90 ~ 120 C) - Organic Contamination removal and PR strip HF (+ H 2 O 2 ) : Last wet cleaning - HF : Native oxide and H 2 O 2 : Metal removal

Particle Adhesion Mechanism Physisorption (Van Der Waals Forces) E= - AR / 6H 2 Electrostatic Attraction Surface charge : Zeta-Potential 2 64πεRk T H ) = 2 e z V R ( 2 γ γ 2 1 2 exp[ κh ] Chemisorption Chemical reaction between particles and surfaces Capillary Condensation F c = 4πRγ L

Particle Removal Mechanism Etching Few A /min/dissolution Dynamic Driving Force Mobility of liquid molecules Megasonic irradiation, Higher temperature, Hydrodynamic force Interaction Force Surface charge and Electrostatic repulsion Wettability of surfaces and particles

Metal Contamination Mechanism Electrochemical Deposition Redox Reaction O 3 + 2H + +2e - = O 2 +H 2 O H 2 O 2 + 2H + + 2e - = 2H 2 O Au + +e - = Au O 2 + 4H + + 4e - = 2H 2 O Ag + + e - = Ag Cu + + e - = Cu Cu 2+ + 2e - = Cu 2H + +2e - = H 2 Pb 2+ + 2e - = Pb Ni 2+ + 2e - = Ni Fe 2+ + 2e - = Fe SiO 2 + 4H + + 4e - = Si + 2H 2 O Al 3+ + 3e - = Al Na + + e - = Na Ca 2+ + 2e - = Ca K + + e - = K E (V vs. NHE) 2.076 1.778 1.692 1.228 0.799 0.520 0.337 0.000-0.126-0.250-0.440-0.857-1.663-2.714-2.866-2.924 More Noble More Active Oxide Oxide Formation ΔH (kj/mol) Al 2 O 3-1,675 Cr 2 O 3 CrO 2 CrO 3-1,130-583 -580 Fe 3 O 4-1,118 Fe 2 O 3-822 SiO 2-909 NiO -241 CuO -155 Tendency to be included in the oxide film

Metal Removal Mechanism Electrochemical Deposition Interruption of oxidation/reduction reaction Change of Eh and ph and complexation of ions Hydroxide Formation Surface modification and complexation Particle removal mechanism Film Inclusion Etching

CMP Process and Defects Polishing Pad Wafer Wafer Carrier Polishing Slurry Slurry Supply Slurry particles : SiO 2, Al 2 O 3, CeO 2 Rotating Platen CMP induced particles, metal ions Physical damages: scratch, pits, stress Chemical damages: corrosion

Requirements for Post CMP Cleaning Particle/Metal Removal Mechanism - No Damages Slurry/Cleaning Chemistry - Surface properties Post CMP Cleaning Particle/Metal Adhesion Mechanism - Specific contamination Post CMP Cleaning Equipments - Single/batch - Brush/Megasonic Copper CMP Cleaning - Low k integration - Corrosion

Defects Types in CMP Dishing / Erosion/N.U. Particles / Scratch Origins of these defects: Tool, Consumables, Substrate Materials

Random Particle Defects in WCMP Particle on surface and trench Slurry residue on dielectric Slurry residue in W-plug Organic particle Slurry residue in trench

Post CMP Scheme on W Plug for particle removal in trench for particle removal on surface film Pad fragment Organic particle Slurry residue Etch amount?? Trench pattern W-Plug Dielectric (SiO 2 )

Post CMP Cleaning Processes Clean configurations NH 4 OH HF Wet Wet Sand Indexer Dual Brush Module Rinse, Spin Dry Station

Shapes of Organic Defects after Poly CMP Ameba type defects on hydrophobic surface

Sources of Organic Residues

Hydrophobic Forces Net Free Energy at contact ΔG = ΔG LW + ΔG AB values (mn/m) for a number of interacting system according to Acid- Base theory The AB parameters for liquids were taken from van Oss. Silica was used as the model substrate. The force can be calculated using the Derjaguin approximation F/R=-2π(ΔG LW+AB ) More positive : More repulsive, More negative : More attractive Substrate Colloidal Probe Phi-Phi SiO Wafer Glass (30mm) Pho-Phi Silanated Glass Glass (15mm) Pho-Pho Silanated Glass Silanated Glass (15mm) Net Free Energy Liquid Pho-Pho Phi-Phi Phi-Pho Water -71.47 10-18 Theoretical Calculation Adhesion Force Measurement Phil-Phil Repulsive Pho-Pho Phil-Pho Pho-Pho Attractive Phil-Pho Phil-Phil Ref. : Alexandre M. Freitas and Mukul M. Sharma, Journal of Colloid and Interface Science, 233, 73-82, (2001)

Contact Angle of Poly Si Wafer Treated with Sol. A Contact angle of poly Si decreased as function of Sol. A concentrations Slurry Modification to reduce defects Surface wettability change 80 Contact Angle ( Degree ) 70 60 50 40 30 Contact Angle of Poly Si Wafer 20 0 2 4 6 8 10 Concentration of H 2 O 2 ( vol % ) Concentration of Sol. A ( vol % )

Adhesion Force of Polymeric Particle on Poly Si Adhesion force measurement of pad particle on poly Si wafer surface at ph 11 (Spring constant : 0.03 N/m cantilever) ph 11 was adjusted by KOH Hydrophilic poly Si : Lower adhesion force than hydrophobic poly Si surface 16 Adhesion Force (nn) 14 12 10 8 6 Adhesion Force of Polymer Particle HSol. 2 O 2 A 0% HSol. 2 O 2 A 1% HSol. 2 O 2 A 3% HSol. 2 O 2 A 10%

Frictional Force and Thermal Behavior on Poly Si CMP Friction Force (Kg f ) 25 SS 12 Slurry + H 2 O 2 addition 20 0 No vol Additive % H 2 O 2 15 1 Lower vol % HAddtive 2 O 2 concent. 10 5 3 vol % H 2 O Medium addtive 2 concent. 10 vol % H 2 O Higher addivie 2 concnet. 0 0 10 20 30 Temperature ( 33 31 29 27 25 23 21 19 17 15 SS12 SS12 SS12+1%Sol.A w/ + Lower 1%H2O2 additive SS12 SS12+3%Sol.A w/ + medium 3%H2O2 additive SS12 SS12+10%Sol.A w/ + higher 10%H2O2 additive con. 1 3 5 7 9 11 13 15 17 19 21 23 25 27 29 31 33 35 Time ( sec ) Time (Sec.) Contact Angle : 52 Contact Angle : <10 After CMP : Contact Angle of poly Si with SS12 slurry After CMP : Contact Angle of poly Si with slurry and Sol. A mixture solution

FESEM Images of Polymeric Particle Contamination on Poly Si 1 min dipping in alkaline KOH solutions which have abraded pad particles, and then dried in N 2 atmosphere at 60 C Abraded Pad Particle No additive (KOH, ph 11), Hydrophobic Surface (KOH + lower additive ), Hydrophilic Surface (KOH + medium additive ), Hydrophilic Surface (KOH + higher additive), Hydrophilic Surface

Defect Maps with Modified Slurry

Effect of Polishing Byproducts on CMP Polish-Byproduct or Stain on Pad in Cu CMP Slurry chemistry induced defects Typical form of stains caused by polish byproducts on the pad The effects of stains on CMP performance such as erosion, dishing and non-uniformity were evaluated No removal by DI buffing

Effect of Byproducts on Polishing - RR, Erosion, Selectivity and Dishing 6000 14.0 12.0 Removal Rate (A /min) 5000 4000 3000 Removal Rate Non Uniformity 10.0 8.0 6.0 4.0 2.0 N.U(%) 2000 0 5 10 15 20 25 0.0 Number of Wafer

Temperatures and Friction 50 5 40 4 Temperature ( ) 30 20 10 Friction Force (A.U.) 3 2 1 Slurry A Slurry B 0 0 50 100 150 Polishing Time (sec) 0 0 10 20 30 40 50 60 Time (sec)

Interaction Forces between Wafer and Surface In liquid media wafer particle Total Interaction Force Electrostatic Force Total Interaction Force Van der Waals Force van der Waals Force (Particle s size ) - Attractive + Electrostatic Force (Zeta Potential) + Repulsive or - Attractive : Key factor controlling deposition

Adhesion Force Measurements Fabricated Colloidal Probe Force-Distance Curve by AFM 50 μm 2 μm Polystyrene particle (2 μm)

Measured Interaction Forces Using AFM Force-Distance Curve Measurements with Silica particle Interaction force (nn) -2.0-1.5-1.0-0.5 ph 11 slurry ph 7 slurry ph 3 slurry 0.0 SILK TEOS Cu TaN SiLK TM TEOS Cu TaN Wafers Park et. al., J. Electrochem. Soc., 150 (5), pp. G327-G322 (2003)

Particle Contamination After Polishing ph 11 ph 7 ph 3 Cu TaN TEOS SiLK

Adhesion Force in Cleaning Solutions The least adhesion force of silica is measured in the citric acid and BTA with NH 4 OH The largest adhesion force is measured in the citric acid and BTA with TMAH The ph and its adjustor selection are very important in cleaning solution design Adhesion Force ( log N ) -8.0-8.5-9.0-9.5-10.0-10.5-11.0 Adhesion Force D.I Citric acid+bta Citric acid+bta+nh4oh Citric acid+bta+tmah (ph2) (ph6) (ph6) Park et. al., J. Electrochem. Soc., 151(10), pp. G327-G322 (2004)

FESEM Images of Cu Surfaces after Polishing - Large numbers of residual particles are observed on Cu surfaces cleaned in DI water, citric acid only solution, and citric acid solution with TMAH - Citric acid and BTA solution with NH 4 OH shows the complete removal of particles Pre-Cleaned Cu Contaminated Cu D.I water Citric acid with BTA Citric acid BTA with NH 4 OH Citric acid BTA with TMAH

Removal Rates in Alumina and Silica slurry - Slurry evaluation: RR, friction and adhesion force measurements 7000 6000 Removal rate (A /min) 5000 4000 3000 2000 1000 0-1000 Removal rate of Cu DI+Alumina DI+Silica Citric+Alumina Citric+Silica Park et. al., J. Electrochem. Soc., 153(1), pp. H36-H40 (2007)

Friction Forces in Alumina and Silica slurry - In DI water, higher friction in alumina - In citric acid, higher friction in silica - The higher the adhesion force, the higher the friction force 14 14 Friction ( Kgf ) 12 10 8 6 4 2 DI Water + Alumina DI Water + Silica Friction ( Kgf ) 12 10 8 6 4 Citric Acid + Alumina + H 2 O 2 + NH 4 OH, ph6 Citric Acid + Silica + H 2 O 2 + NH 4 OH, ph6 0 2 0 10 20 30 40 50 60 Time (Sec.) 0 0 10 20 30 40 50 60 Time (Sec.)

Adhesion Forces of Alumina on Cu in Slurries 6.00E-009 Adhesion Force ( N ) 5.00E-009 4.00E-009 3.00E-009 2.00E-009 DI Water Citric Acid+NH 4 OH Cu Wafer - Particle Adhesion 1.00E-009 Silica Alumina Silica Alumina

Scratches and Defects in Alumina and Silica Slurry Higher friction/adhesion force DI - Alumina DI - Silica Cit - Alumina Lower friction/adhesion force Cit - Silica

Summary Origin of Defects - Tool, Consumables, Surfaces Consumables - Slurry, Pad Related Surfaces - Wettability - Metallic vs. Non-metalic Slurry and cleaning solution modification Evaluation of Slurry and Cleaning Solutions -Adhesion force - Friction force

Acknowledgements Fundings from MOICE, KOSEF, MOST Samsung, Hynix, Intel Doosan, Siltron, IMT Lab of Excellence Program Through MOE, MOCIE and MOLAB Post Brain Korea 21 Program through MOE AND

Members of EMPL at Hanyang University