Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors

Similar documents
CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

MOS Front-End. Field effect transistor

Process Integration. NMOS Generic NMOS Process Flow. CMOS - The MOSIS Process Flow

Process Integration. MEMS Release Techniques Sacrificial Layer Removal Substrate Undercut

Complementary Metal Oxide Semiconductor (CMOS)

ECE520 VLSI Design. Lecture 7: CMOS Manufacturing Process. Payman Zarkesh-Ha

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

Design Consideration and Effect of Parameter Variation on sub-40nm Bulk MOSFET using TCAD Tool

Isolation Technology. Dr. Lynn Fuller

MEMS Surface Fabrication

Summary of Selected EMCR732 Projects for Spring 2005 Mike Aquilino Dr. Lynn Fuller

NMOS Transistor Design and Fabrication for S-Parameter Extraction

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

FABRICATION of MOSFETs

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Summary of Selected EMCR650/731 Projects for Jeremiah Hebding Dr. Lynn Fuller

Fairchild Semiconductor Application Note June 1983 Revised March 2003

Application of Taguchi Method in Optimization of Gate Oxide and Silicide Thickness for 45nm NMOS Device

Drytech Quad Etch Recipes Dr. Lynn Fuller Mike Aquilino Microelectronic Engineering

Advancing RIT to Submicron Technology: Design and Fabrication of O.5~j,m N-channel MOS Transistors

Chapter 2 Manufacturing Process

Lecture 0: Introduction

TANOS Charge-Trapping Flash Memory Structures

EE CMOS TECHNOLOGY- Chapter 2 in the Text

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

Review of CMOS Processing Technology

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

EE 143 CMOS Process Flow

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

KEYWORDS: MOSFET, reverse short-channel effect, transient enhanced diffusion, arsenic, phosphorus, source, drain, ion implantation

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Problem 1 Lab Questions ( 20 points total)

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

9/4/2008 GMU, ECE 680 Physical VLSI Design

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Department of Electrical Engineering. Jungli, Taiwan

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

ECE321 Electronics I

Manufacturing Design and Fabrication of 100 nm (Leff) CMOS Devices

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

Mark T. Bohr Intel Senior Fellow, Technology and Manufacturing Group Director, Process Architecture and Integration INTEL CORPORATION

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

Chapter 2 Problems. The CMOS technology we need to realize is shown below, from Figure 1-34 in the text. S P + N P + N WELL P +

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Lect. 2: Basics of Si Technology

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

Make sure the exam paper has 9 pages total (including cover page)

CMOS FABRICATION. n WELL PROCESS

Lithography Independent Fabrication of Nano-MOS-Transistors with W = 25 nm and L = 25 nm

HOMEWORK 4 and 5. March 15, Homework is due on Monday March 30, 2009 in Class. Answer the following questions from the Course Textbook:

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Process Improvement Projects May 2006 Dr. Lynn Fuller

Tri-Gate Transistor Architecture with High-k Gate Dielectrics, Metal Gates and Strain Engineering

Modeling, Simulation and Fabrication of 100 nm (Leff) High Performance CMOS Transistors

MATTHEW A. WICKHAM 5th Year Microelectronic Engineering Student Rochester Institute of Technology ABSTRACT

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Czochralski Crystal Growth

EE 330 Lecture 12. Devices in Semiconductor Processes

Manufacturing Process

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

DEVELOPMENT OF A DEEP TRENCH RIE ETCH FOR CAPACITOR AND ISOLATION TECHNOLOGIES

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

Chapter 3 CMOS processing technology

VLSI Systems and Computer Architecture Lab

CMOS Manufacturing process. Design rule set

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

VLSI Digital Systems Design

EE 330 Lecture 9. IC Fabrication Technology Part 2

Performance Predictions for Scaled Process-induced Strained-Si CMOS

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Chapter 5 Thermal Processes

Amorphous Carbon Hard Mask for Multiple Patterning Lithography

LAM 490 Etch Recipes. Dr. Lynn Fuller

Microfabrication of Integrated Circuits

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

Fabrication and Layout

A New High-k Transistor Technology Implemented in Accordance with the 55nm Design Rule Process

Characteristics of HfO 2 pmosfet with Ultrashallow Junction Prepared by Plasma Doping and Laser Annealing

Lecture #18 Fabrication OUTLINE

Characterization of Low Temperature Gate Dielectrics for Thin Film Transistors

Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu

EE 143 MICROFABRICATION TECHNOLOGY FALL 2014 C. Nguyen PROBLEM SET #9

We are moving to 155 Donner Lab From Thursday, Feb 2 We will be able to accommodate everyone!

CMOS Factory Laboratory

CMOS Processing Technology

Complexity of IC Metallization. Early 21 st Century IC Technology

Lecture 1A: Manufacturing& Layout

Is Now Part of To learn more about ON Semiconductor, please visit our website at

EEC 118 Lecture #5: MOS Fabrication. Rajeevan Amirtharajah University of California, Davis Jeff Parkhurst Intel Corporation

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Cost of Integrated Circuits

CMOS Processing Technology

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Transcription:

Development of a Deep-Submicron CMOS Process for Fabrication of High Performance 0.25 mm Transistors Michael Aquilino M.S. Thesis Defense Department May 19, 2006

Motivation o Enable the department to continue the semiconductor industry trend of fabricating high performance transistors that have faster switching speeds and increased density and functionality o Push the limits of the SMFL in all areas from design to fabrication to test o Create a baseline process that can be used to integrate strained silicon, metal gates, high-k gate dielectrics, and replacement gate technologies at RIT 2

Outline RIT/Industry Scaling Trends Gate Control Fundamentals Short Channel Effects Deep-Submicron Scaling Test Chip Layout Unit Process Development & Integration Electrical Results Summary Questions 3

RIT/Industry Scaling Trends Figure 1: Transistor Scaling Trends [1] o 0.25 µm CMOS technology was used in high volume manufacturing of the Intel Pentium III up to 600 MHz through 1999 o The gap between industry and RIT is rapidly shrinking 4

Gate Control Fundamentals o NMOS Transistor o 4 Terminal Device o Gate o Source o Drain o Body To turn transistor on: Figure 2: Schematic of NMOS Transistor [2] o Apply positive charge to Gate, Q G o A depletion region in the p-type body is created as positively charged holes are repelled by gate, exposing negatively charged acceptor ions, Q B o As the gate charge is further increased, electrons from the source diffuse into the channel and become inversion charge, Q I o Q G = Q B + Q I 5

Gate Control Fundamentals o The source and body terminals are grounded o A positive voltage is applied to the drain, V DS o Inversion charge moves from source to drain and is the current in the device Figure 2: Schematic of NMOS Transistor [2] o Equation 1 shows the classical derivation for drain current in a transistor by integrating the inversion charge along the channel W VD ID = µ nqn( V ) dv L VS (Eq. 1) 6

o A depletion region from the drain is created by the reverse biased drain - body N + -P diode o The positively charged donor ions in drain support some of the negative inversion charge Gate Control Fundamentals Figure 2: Schematic of NMOS Transistor [2] o This is known as Charge Sharing as the gate does not have full control over the inversion channel o For large gate lengths, the contribution of the drain in controlling the inversion layer is small compared to the gate contribution o As transistors are scaled smaller in gate length, the drain has a larger percentage contribution in supporting inversion charge in the channel 7

Drain Induced Barrier Lowering Figure 3: Surface Potential vs. Lateral Position in the Channel [3] o As the drain bias is increased the energy barrier in the channel is lowered o This is known as Drain Induced Barrier Lowering and is the cause of short channel effects 8

Short Channel Effects I DS Log (I DS ) V DS = 2.5 V V DS = 0.1 V? V GS DIBL = V V GS DS V DS Figure 4: Channel Length Modulation V GS Figure 5: Increased Sub-threshold Current I DS V T + 0.5 V NMOS - 0.5 V L GATE PMOS Figure 6: V T Roll-Off V DS Figure 7: Source/Drain Punch through 9

Deep-Submicron Scaling o The goal in deep-submicron scaling is to maximize the gate control for switching the device on and off by scaling physical and electrical parameters, therefore reducing short channel effects Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm Figure 8: Physical Scaling Guidelines [5] 10

Deep-Submicron Scaling Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm o Decrease gate oxide thickness o Gate is closer to the channel o More control in switching device off o C ox since C ox = εa/t ox or C ox = Q/ V o I D and g m since C ox Figure 8: Physical Scaling Guidelines [5] 11

Deep-Submicron Scaling o Decrease junction depth of source/drain o Depletion region from gate dominates depletion region from the drain o Trade-off is sheet resistance and I D o N D must which will cause R S Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm Figure 8: Physical Scaling Guidelines [5] 12

Deep-Submicron Scaling Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm o Use sidewall spacer to create deeper source/drain junction called the contact o Typically ~2x as deep as shallow LDD o Doped heavily to reduce R S Figure 8: Physical Scaling Guidelines [5] 13

Deep-Submicron Scaling o Decrease V DD to reduce depletion region from the drain and prevent lateral and vertical punch-through o Increase doping of channel to decrease the depletion region from the source/drain o Use a retrograde profile where doping is low at the surface and higher sub-surface Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm o Mobility of carriers o I D and g m since both are mobility Figure 8: Physical Scaling Guidelines [5] 14

Deep-Submicron Scaling Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm o As T OX, gate leakage current as V GS-MAX = V DD o V GS must to reduce this leakage o V T must so (V GS -V T ), this is Gate Overdrive and is I D o Want I ON since gate delay I ON -1 τ = CV ION (Eq. 2) 15

Deep-Submicron Scaling Table 2: 0.25 µm Scaling Parameters from NTRS Roadmap [4] I ON 600 µa/µm T ox 40-50 Å I OFF 1 na/µm X J (shallow LDD) 50 100 nm Log(I ON / I OFF ) 5.75 decades N D (LDD) 2-5 x10 18 cm -3 SS 85 mv/decade R S (LDD) 400 850 Ω/sq DIBL < 100 mv/v X J (contact) 135 265 nm V DD 1.8 2.5 V N D (contact) 1x10 20 cm -3 V T 0.5 V X J (SSRW channel) 50 100 nm o Want I OFF to be low to reduce standby power o The industry standard metric is 1 na/µm of off-current o This results in 5.75 decades between I ON and I OFF o There is 500 mv swing between 0 V and V T o SS of 85 mv/decade is needed to turn the device off KT SS ln q 1 C D ( 10) + = OX C (Eq. 3) Theoretical limit ~ 60 mv/decade @ 300K 16

Layout of Test Chip o 9 Design Layers o Active o N-Well o Poly o N + Select o P + Select o Contact Cut o Metal 1 o Via o Metal 2 o 10 Masks o 12 Lithography Levels Figure 9: Test Chip Layout 17

Layout of Test Chip X-Section SEM Structures Ring Oscillators X-Section Inverters Narrow Width NMOS & PMOS Inverters Capacitors Resolution Targets and Alignment Verniers Van Der Pauw & CBKR Test Structures NMOS & PMOS l=1.0 mm SRAM o 9 Design Layers o Active o N-Well o Poly o N + Select o P + Select o Contact Cut o Metal 1 o Via o Metal 2 o 10 Masks o 12 Lithography Levels NMOS & PMOS l=0.25 mm Figure 9: Test Chip Layout 18

0.25 µm Device Technology Figure 10: CMOS Inverter Cross Section showing NMOS (left) and PMOS (right) Transistors o Shallow Trench Isolation o 50 Å gate oxide w/ N 2 O o Polysilicon Reactive Ion Etch o Arsenic/BF 2 Low Doped SDE o Si 3 N 4 Sidewall Spacers o Dual Doped Poly Gates o Rapid Thermal Dopant Activation o Titanium Silicide o Contact Cut Plasma Etch o 2 Level Aluminum Metallization

RIE Trench Etch with Photoresist Si 3 N 4 Etch Rate = 1010 Å/min SiO 2 Etch Rate = 489 Å/min Si Etch Rate = 1175 Å/min 0.5 µm 1.0 µm Figure 11: RIE of Silicon Trenches Recipe Parameters: Power = 250 W Pressure = 60 mtorr SF 6 = 30 sccm CHF 3 = 30 sccm Drytek Quad - Chamber 2 o Target silicon trench depth is 4000 Å o Photoresist is causing footing at bottom of trench towards the end of etch o Need to increase hard bake temp to 140 C from 110 C o Trenches as small as 0.5 µm wide can be etched o 500 Å liner oxide thermally grown to repair damage to sidewalls of trench and round off the corners at the bottom for better TEOS filling 20

Uniformly Doped Twin Well NMOS PMOS NMOS Field PMOS Field Well Type p n p n Gate Material N + Poly P + Poly N + Poly P + Poly Doping Concentration (cm -3 ) 6.5x10 17 5.5x10 17 6.5x10 17 5.5x10 17 Figure 12: Twin Well Doping Junction Depth (µm) 3 3 3 3 Gate Oxide (Å) 50 50 4000 4000 Threshold Voltage (V) + 0.5 V - 0.5 V + 50 V - 50 V o N & P Well implanted after the liner oxide before the STI TEOS fill o Well Drive in for 6 hours @ 1100 C in N 2 o The junction depth of the wells must be large enough to prevent vertical punch-through between the reverse-biased drain of the PMOS and the starting wafer 21

7000 Å Trench Fill with PECVD TEOS 0.6 µm 1.0 µm Recipe Parameters: Name = A6-7000A TEOS LS Power = 295 W Pressure = 9000 mtorr TEOS = 400 sccm O 2 = 285 sccm Temp = 390 C Figure 13: Shallow Trench After TEOS Deposition o Trenches filled with 7000 Å PECVD TEOS in Applied Materials P-5000 o 4000 Å Si + 500 Å Pad Ox + 1500 Å Nitride + 1000 Å overfill = 7000 Å o CMP is used to polish TEOS off active areas using nitride as stop layer 22

Shallow Trench Isolation After CMP TEOS Removal = 1574 Å/min Si 3 N 4 Removal = 407 Å/min SiO 2 /Si 3 N 4 Selectivity = 3.87 TEOS 1 µm wide Pad Oxide Liner Oxide Nitride Recipe Parameters: Down Force = 6 PSI Carrier = 70 RPM Table = 50 RPM Slurry = 60 ml/min Figure 14: 1 µm Shallow Trench After CMP o Nitride protects the active areas where the transistors will be built o After cleans, a TEOS densification is done to lower TEOS etch rate in HF o Nitride and Pad Oxide removed so 50 Å gate oxide can be grown 23

C-V Analysis of P + /N + Poly Capacitors Cox' (nf/cm 2 ) 800 700 600 500 400 300 200 100 0-2.5-1.5-0.5 0.5 1.5 2.5 VG (volts) Avg. EOT = 48 Å s = 0.8 Å Figure 15: P + Poly Capacitor with 48 Å EOT Cox' (nf/cm 2 ) 800 700 600 500 400 300 200 100 0-3.5-2.5-1.5-0.5 0.5 1.5 VG (volts) Avg. EOT = 58 Å s = 3.6 Å Figure 16: N + Poly Capacitor with 58 Å EOT o Nitrogen is incorporated into the gate oxide from N 2 O gas to prevent boron from diffusing from P + poly into the channel during the source/drain anneal o 50 Å gate oxide measured with Variable Angle Spectroscopic Ellipsometer o P + poly capacitors exhibit no poly depletion with average EOT = 48 Å o N + poly capacitors exhibit some poly depletion with average EOT = 58 Å 24

Photoresist Trimming for 0.25 µm Gate Length o Resolution limit of Canon i-line stepper ~ 0.5 µm o 1250 Å of PR is etched off each side of 0.5 µm PR lines in O 2 plasma to make 0.25 µm lines Figure 17: 0.45 µm PR Line Before Trim Figure 18: 0.2 µm PR Line After Trim Recipe Parameters: Power = 100 W Pressure = 400 mtorr O 2 = 20 sccm Gap = 1.65 cm Tool = LAM490 o PR Horizontal Etch Rate = 555 Å/min o PR Vertical Etch Rate = 720 Å/min o Anisotropy = (1-ER H /ER V ) = 0.23 25

Polysilicon Reactive Ion Etch Poly Etch Rate = 336 Å/min SiO 2 Etch Rate = 70 Å/min Poly/SiO 2 Selectivity = 4.8 Figure 19: 0.25 µm Poly Gate Length Recipe Parameters: Power = 200 W Pressure = 30 mtorr SF 6 = 10 sccm CHF 3 = 35 sccm O 2 = 5 sccm Drytek Quad - Chamber 2 o 0.25 µm PR lines are transferred into 2000 Å LPCVD polysilicon layer o 75 sidewall angle with RIE recipe o < 90 angle reduces crossectional area of gate: R Gate, switching speed fl 26

Poly Re-Oxidation o After plasma etch of gate there is damage to edges of gate oxide o A 100 Å oxide is thermally grown to: o Repair damage to edges of gate oxide from plasma etch of the poly gate o Create a thicker screening oxide for source/drain extension implant o Make a thicker etch stop for sidewall spacer etch process Figure 20: Poly Re-Oxidaiton o Form an off-set region for lateral diffusion of shallow s/d extension o Want to reduce gate overlap of s/d to reduce Miller Capacitance o This capacitance will reduce the cut-off frequency of the device o Need 15 20 nm of gate overlap for proper capacitive coupling of the gate to the channel or drive current will degrade [6] 27

As/BF 2 Low Doped S/D Extensions o Low energy BF 2 and Arsenic ions are implanted for shallow junctions self aligned to the poly gate L POLY = 250 nm o NMOS LDD o 25 kev, As, 2.75x10 13 cm -2 o X J = 75 nm o N D = 5x10 18 cm -3 X J = 75 nm 50 nm 50 nm L Metallurgical = 150 nm o PMOS LDD o 20 kev, BF 2, 3.5x10 13 cm -2 o X J = 75 nm o N D = 5x10 18 cm- 3 Figure 21: Effective Channel Length Estimation o Gate Overlap = 50 nm 10 nm = 40 nm = 15 20 nm requirement o L EFFECTIVE will be extracted to be between L POLY and L Metallurgical o Process is designed for L POLY = 0.25 µm and L EFFECTIVE = 0.20 µm 28

Silicon Nitride Sidewall Spacers o t Nitride = t Poly o L Spacer is determined by: o Poly thickness o Nitride conformality o Etch anisotropy Si 3 N 4 Etch Rate = 1110 Å/min SiO 2 Etch Rate = 555 Å/min Si 3 N 4 /SiO 2 Selectivity = 2 Figure 22: Nitride Sidewall Spacers Recipe Parameters: Power = 250 W Pressure = 60 mtorr SF 6 = 30 sccm CHF 3 = 30 sccm Drytek Quad Chamber 2 29

As/BF 2 Source/Drain & Poly Contact Implant o High dose BF 2 and Arsenic ions are implanted at higher energies for the deeper source/drain contacts that are self aligned to the spacers o NMOS Source/Drain Contact o 30 kev, As, 5x10 15 cm -2 o X J = 150 nm o N D >1x10 20 cm -3 Figure 23: S/D Contact & Poly Doping o PMOS Source/Drain Contact o 25 kev, BF 2, 5x10 15 cm -2 o X J = 150 nm o N D >1x10 20 cm -3 o Poly Gates doped at the same time as the source/drain contact implants o N + Poly for NMOS and P + Poly for PMOS for surface channel devices 30

Rapid Thermal Dopant Activation Temp ( C ) 1100 1000 900 800 700 600 500 400 300 200 100 0 0 10 20 30 40 50 60 70 Time (sec) Figure 24: RTP Temperature Profile o Tool = AG610-A RTP o Recipe = MVA1050A.RCP o Ramp up to 1050 C @ 200 C/sec o Soak for 10 seconds in N 2 o Ramp down to 600 C @ 41 C/sec o A high temperature thermal step is required for dopant activation and to repair damage to the silicon lattice caused by the high dose S/D implants o Rapid Thermal Processor needed to avoid TED temp ranges (670 900 C) [7] o Arsenic not as susceptible to Transient Enhanced Diffusion compared to BF 2 31

Self Aligned Titanium Silicide (TiSi 2 ) Figure 25: TiSi 2 for Low Contact Resistance to Source & Drain o Two-step silicide process to reduce R S and R D o Higher resistivity C49 Phase 30 seconds @ 715 C in N 2 o Etch un-reacted Titanium in 2:1 H 2 O 2 :H 2 SO 4 o Lower resistivity C54 Phase 20 seconds @ 850 C in N 2 After S/D Anneal After RTP-1 & Ti etch After RTP-2 Average Sheet Resistance (Ω/sq) 45 12.86 1.66 32

Contact Cut Reactive Ion Etch SiO 2 Etch Rate = 1850 Å/min Si Etch Rate = 320 Å/min SiO 2 /Si Selectivity = 5.78 Figure 26: Contact Cut RIE Recipe Parameters: Applied Materials P-5000 Recipe = C6 Oxide Etch Power = 650 W Pressure = 250 mtorr CHF 3 = 100 sccm CF 4 = 50 sccm B = 40 Gauss o 0.5 µm contact cuts etched in 3000 Å of TEOS o 82 Sidewall Angle with RIE recipe 33

Aluminum Metallization Figure 27: 0.5 µm Contact Cuts After Metal 1 o 0.5 µm contact cuts are filled with 5000 Å of Aluminum o Smaller areas are more difficult to fill o Back End CMP, Via and Metal 2 processes still need characterization 34

ID-VD for 0.25 µm NMOS Transistor 180 ID (µa/µm) 160 140 120 100 80 60 o L mask = 0.5 µm o L poly = 0.25 µm o L effective = 0.2 µm 40 20 0 0.0 0.5 1.0 1.5 2.0 2.5 VD (Volts) Figure 28: ID-VD for 0.25 µm NMOS Transistor o ID = 177 µa/µm @ VG=VD=2.5 V o V T = 1.0 V *This is RIT s Smallest NMOS Transistor 35

ID (A/µm) ID-VG Sub-threshold 0.25 µm NMOS 1.E-03 1.E-04 1.E-05 1.E-06 VD=0.1V 1.E-07 VD=0.1V (Remove Drain Leakage) 1.E-08 VD=2.0V 1.E-09 VD=2.0V (Remove Drain Leakage) VD=2.5V 1.E-10 VD=2.5V (Remove Drain Leakage) 1.E-11 0.0 0.5 1.0 1.5 2.0 2.5 VG (volts) 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 1.E-09 1.E-10-2.5-2.0-1.5-1.0-0.5 0.0 0.5 1.0 1.5 2.0 2.5 VNP (volts) Figure 29: ID-VG for 0.25 µm NMOS Transistor Figure 30: NMOS Drain Diode Leakage ID (Amps/µm ) o I off = 13 pa/µm @ VD=0.1 V (with drain diode leakage removed) o I off = 11 na/µm @ VD=2.5 V (with drain diode leakage removed) o Log(I on /I off ) = 4.2 decades o SS = 119 mv/decade @ VD=0.1 V 36

ID-VD for 0.25 µm PMOS Transistor 135 ABS(ID) (µa/µm) 120 105 90 75 60 45 o L mask = 0.6 µm o L poly = 0.25 µm o L effective = 0.2 µm 30 15 0-2.5-2.0-1.5-1.0-0.5 0.0 VD (volts) Figure 31: ID-VD for 0.25 µm PMOS Transistor o ID = 131 µa/µm @ VG=VD=-2.5 V o V T = -0.75 V *This is RIT s Smallest PMOS Transistor 37

ID-VG Sub-threshold 0.25 µm PMOS 1.0E-03 1.0E-04 1.0E-05 1.0E-06 ABS(ID) (A/µm) 1.0E-07 1.0E-08 1.0E-09 1.0E-10 1.0E-11 1.0E-12 1.0E-13 1.0E-14-2.5-2.0-1.5-1.0-0.5 0.0 VG (volts) Figure 32: ID-VG for 0.25 µm PMOS Transistor o I off = -20 fa/µm @ VD=-0.1 V o I off = -4.9 pa/µm @ VD=-2.5 V o Log(I on /I off ) = 7.4 decades o SS = 75 mv/decade @ VD=-0.1 V o SS = 85 mv/decade @ VD=-2.5 V o DIBL = 8.3 mv/v @ ID=-1 na/µm 38

V T Roll-Off Threshold Voltage (V) 1.5 1 0.5 0-0.5-1 -1.5 NMOS PMOS 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 Leffective (µm) Figure 33: Threshold Voltage Roll-off Short Channel Effect o As the gate length decreases, V T decreases in magnitude o For small enough L effective, the V T s can decrease to 0 V o Energy barrier lowering from drain so severe, the devices are on at V G =0 V 39

NMOS Terada-Muta Method for L eff and R SD VG VD VS RD RS Rsd (Ohms) 1400 1200 1000 800 600 400 200 0 R SD = 530 W? L ~ 0.3 µm 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Lmask (µm) VG-VT=0.5V VG-VT=1.0V VG-VT=1.5V Figure 34: L eff & R SD extraction for NMOS Transistors L eff = L mask? L L eff = 0.5 µm 0.3 µm L eff = 0.2 µm Linear Region: V D = 0.1V V G -V T >> I D R SD At low I D, V RSD small Rm = Vd = R SD + (L mask -? L) Id µcox W(V GS -V t ) Plot R m vs. L mask for different (V GS -V t ) [8] 40

PMOS Terada-Muta Method for L eff and R SD VG VD VS RD RS Rsd (Ohms) 3000 2500 2000 1500 1000 500 0 R SD = 500 W 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 0.8 0.9 1 Lmask (µm)? L ~ 0.4 µm Figure 35: L eff & R SD extraction for PMOS Transistors L eff = L mask? L L eff = 0.6 µm 0.4 µm L eff = 0.2 µm Linear Region: V D = 0.1V V G -V T >> I D R SD At low I D, V RSD small Rm = Vd = R SD + (L mask -? L) Id µcox W(V GS -V t ) Plot R m vs. L mask for different (V GS -V t ) [8] 41

Summary o Unit Processes have been developed to achieve 0.25 µm CMOS Transistors o The unit processes have been integrated into a 75-step CMOS process flow o Fabrication has been completed and transistors characterized o This process can be improved upon for increased transistor performance as well as integrating high-k and strained silicon technologies in the future 42

Acknowledgements I would like to thank the following people for their advisement and support in this work and throughout my 6 years at RIT: o Dr. Lynn Fuller o Dr. Santosh Kurinec o Dr. Karl Hirschman (M.S. Thesis Advisor) (Committee Member) (Committee Member) o MicroE Faculty & Staff o Dr. Sean Rommel o Dr. Michael Jackson o Dr. Bruce Smith o Dr. Robert Pearson o Prof. Dale Ewbank o Sara Widlund o SMFL Staff o Bruce Tolleson o Dave Yackoff o Sean O Brien o Scott Blondell o Tom Grimsley o Rich Battaglia o John Nash o Graduate Students o Dan Jaeger o Reinaldo Vega o Eric Woodard o Mike Latham o Rob Manley o Germain Fenger 43

References [1] S. E. Thompson, et al., A 90-nm logic technology featuring strained-silicon, IEEE Trans. Electron Devices, vol. 51, no. 11, pp. 1790-1797, 2004. [2] Y. Tsividis. Operation and Modeling of The MOS Transistor, 2nd Edition. Oxford University Press, 1999. [3] Michael Stockinger, http://www.iue.tuwien.ac.at/phd/stockinger/node15.html [4] L. Wilson, ed., The National Technology Roadmap for Semiconductors: 1997 Edition, Semiconductor Industry Association, San Jose, California [5] De, I.; Osburn, C.M., Impact of super-steep-retrograde channel doping profiles on the performance of scaled devices, IEEE Trans. Electron Devices, vol. 46, Issue: 8, pp.1711-1717, Aug. 1999 [6] S. Thompson, P. Packan, M. Bohr, MOS Scaling: Transistor Challenges for the 21st Century, Intel Technology Journal, 1998 [7] S. Wolf. Silicon Processing for the VLSI Era. Volume 4-Deep Submicron Process Technology. Lattice Press, 2002 [8] K. Terada and H. Muta, "A new method to determine effective MOSFET channel length," Jpm. J. Appl. Phys., vol. 18, p. 935, 1979. 44