Michael P. Roberts. June Signature of Author: Department of Mechanical Engineering May 6,2011

Similar documents
CMP Solutions for 10nm and Beyond: Breaking trade-offs in the Planarization / Defect Balance

Evaluation of Mechanical Properties of Hard Coatings

Nanoscale Defect Generation in CMP of Low-k/Copper Interconnect Patterns

Identifying Cohesive Failure of Screen Protectors with Acoustic Emission

Cu CMP: Macro-scale Manufacturing for Nano-scale Quality Requirements

M3 Review Automated Nanoindentation

Tablet Coating Failure Using Micro Scratch Testing

APPENDIX B THE MECHANICAL POLISHING PROCESS BASED ON PIN-ON-DISK EXPERIMENTS

Joseph M. Johnson. Submitted to the Department of Mechanical Engineering in Partial Fulfillment of the Requirements for the Degree of

EDGE CHIPPING RESISTANCE USING MACROINDENTATION TESTING

Analysis of Large Pad Surface Contact Area in Copper CMP

NANOINDENTATION OF SILICON CARBIDE WAFER COATINGS

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

HONEYCOMB MECHANICAL BEHAVIOR USING MACROINDENTATION

PSA CHALLENGES AND APPLICATIONS IN SEMICONDUCTOR MANUFACTURING

CMP Scratches; Their Detection and Analysis on Root Causes

YIELD & TENSILE STRENGTH OF STEEL & ALUMINIUM USING MICROINDENTATION

The History & Future of

The ABC s of CMP for DWB and SOI. Robert L. Rhoades, Ph.D. CAMP Conference Presentation August 9, 2010

Effect of Soft Material Hardness and Hard Material Surface Morphology on Friction and Transfer Layer Formation; Dry Condition

Chemical Mechanical Planarization

AML 883 Properties and selection of engineering materials

Effects of Film Thickness on the Yielding Behavior of Polycrystalline Gold Films

Nano Puncture Resistance Using Nanoindentation

Indentation Rules of Thumb

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

CONTROLLED HUMIDITY NANOINDENTATION OF POLYMER FILMS

Chapter 4 Surfaces, Tribology, Dimensional Characteristics, Inspection and Product Quality Assurance

Fabrication and application of high quality diamond coated. CMP pad conditioners

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books

AN ABSTRACT OF THE THESIS OF

Keysight Technologies Indentation Rules of Thumb Applications and Limits

Mechanical Behavior and Characterization of Stern-shaft Mechanical Sealing Device. Yongjin Lu a *, Rui Lin

Tribological Characterization of Ti-WS2 Coating

Nano Wear Testing Polymer Coated Glass

Cu/low κ. Voids, Pits, and Copper

Nanoindentation, Scratch and nanodma : Innovations for Atomic Force Microscopes. Ryan Stromberg

Post-CMP Cleaning: Interaction between Particles and Surfaces

Application of Taguchi Method for Optimization of Process Parameters for Wear loss of LM25/Flyash Composite

J. Y. He, Z. L. Zhang, H. Kristiansen, Cracking and delamination of nanoscale metal coating on composite polymer particles. Proceedings of the 18 th

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

CORROSION HARDNESS EFFECT OF STEEL SCREWS BY NANOINDENTATION

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

AMMONITE FOSSIL MECHANICAL PROPERTIES USING NANOINDENTATION

Mechanical Characterization of Sol-Gel Coatings Using a Nano Indenter G200

NANOINDENTATION CREEP MEASUREMENT

Frictional Coefficients of the Passive Titanium Surfaces Evaluated with In-situ and Ex-situ Nano-scratching Tests

Keysight Technologies Scratch Testing of Multilayered Metallic Film Stacks. Application Note

ELASTIC-PLASTIC RESPONSE OF POLYMER COATING UNDER REPETITIVE IMPACT

Transients of deformation at nanoscale observed in displacement controlled nanoindentation testing

Chapter 2: Mechanical Behavior of Materials

Advanced pad conditioner design for Oxide/Metal CMP

Full Nanomechanical Characterization of Ultra-Thin Films

Frictional Condition Evaluation in Hot Magnesium Forming Using T- Shape and Ring Compression Tests

Vickers Berkovich Knoop Conical Rockwell Spherical Figure 15 a variety of different indenter's shapes and sizes

1.1 Background Cu Dual Damascene Process and Cu-CMP

NCAVS CMPUG Meeting July 16, 2009

A Preliminary Report on Phygen s Chromium Nitride Coatings. John B. Woodford, Ph.D. and. Mohumad al-zoubi, Ph.D. Argonne National Laboratory

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

TRIBO-CHEMICAL MECHANISMS AND MODELING IN COPPER CMP

Key criteria for the determination of geometrical properties of nano-objects under different adhesion levels

Deformation Behavior of Ultra-Thin Metal Foils in Strip Drawing Friction Test

4 Image Analysis of plastic deformation in the fracture of paper

ISO Standardized Nanoindentation

Thermo-Mechanical Reliability of Through-Silicon Vias (TSVs)

CMPUG Molecular Design of CMP Consumables for Advanced ( 10nm) Processing Technology. July 11, Michael Mills, PhD Fellow

IMPACT Seminar. Title: Past, present, and future of CMP Faculty: David Dornfeld Department: Mechanical Engineering University: Berkeley IMPACT

Good Practice Guide for nanoindentation of nanoparticles embedded in a layer using an SEM in situ technique

SUPER HARDENING OF W/NbN NANOLAYERS UNDER SHALLOW NANOINDENTATION. Brian Michael Ennis. BSME, University of Pittsburgh, 2002

Modeling of friction and structural transformations in diamond-like carbon coatings

In Situ Temperature Measurement During Oxide Chemical Mechanical Planarization

Evaluation of Copper CMP Process Characterization Wafers

Evaluation of Failure in Low-k Films Using Stiffness Mapping and Dynamic Imaging

Probe Card Cleaning Media Survey. Eric Hill, Josh Smith : : June 10, 2008

MECHANICAL PROPERTIES AND TESTS. Materials Science

Particle Characterization of Abrasives

Czochralski Crystal Growth

INTEGRATED TRIBO-SPM FOR NANO-TRIBOLOGY

Feature-level Compensation & Control. CMP September 15, 2005 A UC Discovery Project

Fracture. Brittle vs. Ductile Fracture Ductile materials more plastic deformation and energy absorption (toughness) before fracture.

Effect of Surfactant on Package Substrate in Chemical Mechanical Planarization

Influence of key test parameters on SPT results

4th Annual SFR Workshop, Nov. 14, 2001

Center for Tribology, Inc. COMPREHENSIVE MATERIALS TESTING FOR MECHANICAL AND TRIBOLOGICAL PROPERTIES

Process optimization and consumable development for Chemical Mechanical Planarization (CMP) processes

AVS CMP Users Group Meeting

A revisit to some wheel workpiece interaction problems in surface grinding

TRIBOMODEL STUDY REGARDING THE BEHAVIOUR OF THE NICKEL COATINGS ON A COPPER SUPPORT

Numerical Simulation of Sliding Contact during Sheet Metal Stamping

The Effect of Laser Heating on the Ductile to Brittle Transition of Silicon

Mechanical and Tribological Properties of Epoxy Nanocomposites

NANO SCRATCH/MAR TESTING OF PAINT ON METAL SUBSTRATE

Dense Aligned Copper Nanowire Composites as High Performance Thermal Interface Materials

Challenges and Future Directions of Laser Fuse Processing in Memory Repair

NanoForce -short note - Ilja Hermann October 24,

ME -215 ENGINEERING MATERIALS AND PROCESES

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

UMT TriboLab TM for Automotive Applications Suresh Kuiry, Ph.D.

New Insights into the Tribological and Kinetic Attributes of Retaining Rings in CMP

CSM Nano-Tribometer *

Transcription:

Scratching by Pad Asperities in Chemical Mechanical Polishing by Michael P. Roberts SUBMITTED TO THE DEPARTMENT OF MECHANICAL ENGINEERING IN PARTIAL FULFILLMENT OF THE REQUIREMENTS FOR THE DEGREE OF BACHELOR OF SCIENCE IN MECHANICAL ENGINEERING AT THE MASSACHUSETTS INSTITIUTE OF TECHNOLOGY June 2011 MAASSACHUSEr~TT OF TECH,-' INS.TITUJTE LOy C 2011 Michael P. Roberts. All rights reserved The author hereby grants to MIT permission to reproduce and to distribute publicly paper and electronic copies of this thesis document in whole or in part in any medium now known or hereafter created. OCT20 2011 ARCHIVES Signature of Author: Department of Mechanical Engineering May 6,2011 Certified by: Jung-Hoon Chun Professor of Mechanical Engineering Thesis Supervisor Accepted by: John H. Lienhard Samuel C. ' ofessor of Mechanical Engineering Undergraduate Officer

Scratching by Pad Asperities in Chemical Mechanical Polishing by Michael P. Roberts Submitted to the Department of Mechanical Engineering on May 6, 2011 in Partial Fulfillment of the Requirements for the Degree of Bachelor of Science in Mechanical Engineering ABSTRACT The exponential increase through time in the number of components in a typical integrated circuit, known as Moore's Law, is driving the need for improvements in manufacturing. A key semiconductor manufacturing process is chemical-mechanical polishing (CMP), which is used to create connecting metal channels above the transistors in a chip. A typical form of this process used in industry is metal CMP. Metal CMP is the process of using a pad combined with an abrasive slurry to remove excess material, such as Cu, and planarize a surface. The continuing trend to increase the number of components per chip leads to the necessity of Cu features being increasingly small. This increases the resistance of each copper connection, leading to an increase in the RC time delay of each circuit. To counteract the increase in resistance, the use of low dielectric constant (k) materials in place of Si0 2 has been explored. Low-k dielectrics are much softer than Si0 2, which has led to problems with scratching of the Cu during CMP, resulting in faulty parts. Recent research by Thor Eusner indicates that the pad used to polish the surface, not the abrasives in the slurry, may be responsible for the scratching of the Cu surface. This thesis applies this pad asperity scratching model to several CMP pads. The most relevant parameters to scratching, pad to copper hardness ratio and the coefficient of friction, are measured for each pad and the results indicate that scratching should be a problem with nearly all of the pads. This indicates that current CMP pads or slurries are too hard, have too much friction, have asperities that are too sharp, or some combination of these factors. Reengineering CMP pads and slurries is thus recommended to alleviate scratching by pad asperities. Thesis Supervisor: Jung-Hoon Chun Title: Professor of Mechanical Engineering

Acknowledgments I would like to thank Professor Jung-Hoon Chun for introducing me to the world of semiconductor manufacturing. Without his taking an interest in me as his student, I would not have joined his lab or been introduced to this fascinating field that I will now make my career. His regular guidance and generosity toward providing for the demands of this project have made my work much easier and more enjoyable. I would also like to thank Dr. Nannaji Saka, who has always been willing to offer valuable input on presentations and publications. His ability to distill open questions to their relevant parts and the candor with which we spoke of any issues in the lab has helped me to work efficiently and effectively toward my goals. I would like to thank Thor Eusner for his time mentoring me during his last semester at MIT and recognize that he is the reason that there is a CMP pad asperity scratching model as a topic for this thesis. I would also like to thank Sanha Kim for the help he has provided in running experiments, collecting data, and upkeep in the lab.

1. Introduction Chemical-mechanical polishing (CMP) is a process used in semiconductor manufacturing for the removal of excess material and planarization of a wafer surface. The basic CMP setup is as shown in Figure 1. A spinning wafer sits face down on a spinning pad, and a slurry is pumped Figure 1: Generic CMP Setup into the interface between the wafer and pad. The slurry contains chemicals and particles to assist in softening the material being removed and polish the wafer. During CMP, it is possible for devices on the wafer to be damaged. For instance, in place of a clean, planar surface, it is possible for CMP to leave behind scratches on the surface of the wafer. Depending on the width, depth, and location, these scratches can be fatal to device performance. As the needs of semiconductor design increasingly require soft, low-k dielectrics and further miniaturization, scratching defects during CMP both become more common and more likely to be large enough to damage a device. This thesis is written to corroborate recent research by Thor Eusner at the Massachusetts Institute of Technology Tribology Laboratory [1] that investigates direct contact between the wafer and the CMP pad as the source of a very specific type of scratching during CMP. This is counter intuitive because CMP pads are typically much softer than the material that they polish, i.e. a polyurethane pad used to polish copper. However, as will be recounted in Chapter 2, with the correct combination of pad hardness, coefficient of friction on copper, and pad topography, a soft pad may leave a scratch on the surface of copper. This thesis explores a variety of typical CMP pads and slurries and characterizes their ability to scratch a copper wafer surface.

For simplicity, we restrict the study of CMP to CMP on a plain, copper wafer. Future reference to CMP implicitly refers to the polishing of copper. The model and methods presented generalize to the polishing of other materials with the substitution of different slurries, pads, and wafer coating hardness. The scratching on a composite device can be conservatively predicted as scratching of a plain coating of the softest material in the device. Chapter 2 will give an overview of the pad scratching model. Chapter 3 describes how the relevant pad parameters can be measured and how to analyze data to apply this model to an actual CMP setup. Relevant characterization data is provided for a variety of CMP pads and slurry combinations. Chapter 4 briefly discusses experimental validation of the scratching model using the materials characterized in Chapter 3. Chapter 5 concludes and offers suggestions for further reduction of scratching during CMP based on what cannot be achieved with the conventional CMP pads and slurries discussed in Chapter s 3 and 4.

2. Scratching Model This thesis is meant to corroborate the scratching model presented by Eusner. An overview of the model is presented here. A more thorough treatment is contatined in [1]. This scratching model describes under what circumstances a pad with rounded, soft asperities in contact with a hard, planar coating may cause yielding in the coating. Figure 2 describes the result of the model. 1.4- Scratching by 1.2 - Elastic Asperity 1.0 0.8 0.6 0.4 0.0 0.2 0.4 0.6 Coefficient of Friction (i) 0.8 1.0 Figure 2: Scratching model If the pad does not yield, then the contact stress is defined by the Hertzian distribution. In this case the pad cannot scratch copper unless it is harder than copper, or if there is significant friction present. If the friction coefficient is greater than 0.3, then the stress at the surface of the

copper may be great enough to yield the copper, resulting in scratching. This information is conveyed by the upper curve in Figure 2; if the data point corresponding to (pi, He/Hcn) falls above this line, then scratching may occur. If the point is below the line then scratching will not occur by an elastically deforming pas asperity. If the pad does yield, then the pressure distribution is between Hertzian and uniform, depending on the extent of the yielding. In the worst case, a fully plastic pad asperity, the hypothesized stress distribution approaches uniform. In this case the scratching criterion is the lowest curve on Figure 2; scratching may occur if the pad is as little as about one third of the hardness of copper, or even less as friction increases. The two lower curves represent the threshold between scratching and not scratching in this case. The lower curve uses a more conservative estimate of the maximum uniform pressure a pad asperity may apply. The determination of whether the asperity will be elastic, plastic, or in between is based on the radius of curvature of the asperity. A very flat asperity with a large radius of curvature will not yield. A sharp asperity with small radius of curvature will become plastic. Thus, the main components required to apply this model are the pad and coating hardness, the coefficient of friction, and the pad topography. The worst values of each parameter should be plotted as if the hardest sharpest asperity that scratches the softest part of the coating, then scratching has occurred.

3. Pad Characterization The properties of the CMP pad shown to be most relevant to scratching by pad asperities are the pad hardness, the coefficient of friction between the pad and the wafer, and the pad topography. In this Chapter, methods for measuring each of these parameters will be described and the results for some CMP pads will be given. In the case of coefficient of friction, it is necessary to test the pad with a slurry. Several slurry chemical packages were tested, yielding similar but not identical coefficients of friction with the same pad. The pads used in this Chapter are the Rohm and Haas IC 1000, as well as several CMP pads of unknown type provided by Intel for testing. These pads will be referred to as Pads D,E,G,H, and 82634, and demonstrate a wide variation in pad parameters. 3.1 Coefficient of Friction The coefficient of friction, [t, between the pad and copper determines the relative size of shear and normal stresses between a pad asperity and the copper surface. The coefficient of friction between each pad and a copper wafer was measured using the setup shown in Figures 3 and 4. A roughly 20 mm diameter circular cutout of a CMP pad is placed on a roughly 3 x 8 cm sample of copper coated wafer. A mass is used to apply pressure to the pad, while a slurry filled tray fixed to the copper moves from side to side. Changing the mass over the pad allows control of the contact pressure, and the friction force is measured using a strain gauge on a spring attached to this mass.

= 20 mm Figure 3: Coefficient of Friction Experimental Setup Slurry Pad Copper Figure 4: Coefficient of Friction Experimental Setup Although the following parameters do not have a first order affect on coefficient of friction, for consistency the sliding velocity was held at 0.7 cm/s, and the pressure was held at 7.0 kpa. The lateral force to slide the pad was measured and used to calculate the coefficient of friction. The all data represents the average measurement of five cycles. Given confidence intervals are standard 95% two-sided intervals assuming a normal distribution to the data. First several experiments were performed in which the IC 1000 pad was used with seven slurry options. Two chemical packages from by Cabot Microelectronics, icue 5001 and icue 600Y75, were used. In addition, versions of these chemical packages with identical chemistry but no slurry particles, icue 5011 and icue C610Y75, were tested. Finally, each slurry was tested with an added 3% H 2 0 2, as they would be used in industry. A friction test with deionized water was performed as a comparison.

Table 1 compares the properties of the two slurries used. icue 5011 and icue C610Y75 are chemically the same as icue 5001 and icue 600Y75, respectively, but without particles. Table 2 gives experimental data for the coefficient of friction between copper and the IC 1000 pad in the presence of each slurry option. Table 1: Comparison of icue 5001 and icue 600Y75 ph Mean Particle Size Specific Gravity wt. % Water wt. % A1203 Particles wt. % H202 icue 5001 7.5-7.9 <6000 nmn 1.027-1.031 >93% <5% 0% icue 600Y75 4.0-4.2 130-180 nm 1.006-1.013 >91% <5% 0% Table 2: Coefficient of friction between IC 1000 and Copper with in presence of slurries Lubricant Deionized Water icue 5011 (no particles) icue 5001 (particles) icue 5001 + 3% H202 icue C6 10Y75 (no particles) icue 600Y75 (particles) icue 600Y75 + 3% H202 s (avg) 0.380 ±.052 0.325 +.035 0.447.010 0.494 ±.007 0.447 ±.007 0.492+.019 0.496 +.017 p (std dev).032.022.006.004.005.012.011 As can be seen in Table 2, there is a statistically significant difference in the measured coefficient of friction between the pad and copper in the presence of different slurries. Adding

A1 2 0 3 particles slightly increased the coefficient of friction, as did adding 3% H 2 0 2. Deionized water demonstrated a coefficient of friction near but slightly below most of the slurries tested. Most importantly, the values of coefficient of friction for the slurries as used in CMP (with particles and 3% H 2 0 2 ) were both very near 0.5, which is problematic for preventing scratching by pad asperities. As varying the composition of the slurry between typical CMP chemical packages has been demonstrated above to have a small affect on coefficient of friction, in further tests with different pads, tests were performed only with Cabot icue 5001. Table 3 gives coefficient of friction data measured for each additional pad and again for the IC 1000. Table 3: Coefficient of friction between various pads and copper Pad p (avg) p (std dev) IC 1000 0.456 ± 0.008 0.003 82634 0.527 0.028 0.018 D 0.522 0.019 0.012 E 0.462 ± 0.008 0.005 G 0.499 ± 0.009 0.005 H 0.485 0.018 0.011 As was the case with using different slurries, there is some variation between the coefficient of friction of different pads, but all lie within the relatively narrow range of 0.456 <p < 0.527. With typical CMP slurries and pads, the coefficient of friction between the pad and the copper lies in a narrow range of around 0.5, indicating that one route to reducing scratching by pad asperities is to either engineer the slurry or the pad to have lower friction.

3.2 Pad Mechanical Properties The most relevant pad mechanical property for scratching is hardness. The ratio of the hardness of the pad to the hardness of copper, H,/Hcu, has a strong impact on if a pad asperity can scratch the copper surface. The Young's modulus Ep, and Poisson's ratio o, have a much smaller affect on scratching and are further found to have a lesser variance between pads. Measurements of the pad mechanical properties were performed by nanoindentation. Nanoindentation was selected for two reasons. Firstly, the hardness of the pad is being compared to the hardness of a 1 micron layer of copper. For the properties of the underlying Si layer to not affect the measurement, indentation depth should be less than 10% of the coating thickness, necessitating nanoindentation be used for measuring the hardness of the copper. For consistency the same measurement technique was selected for the pad. Secondly, the pad has a porous structure, so the hardness may be different depending on the scale of measurement. As scratching is caused by a single asperity, nanoindentation is selected to measure the hardness of a single asperity. Nanoindentation was performed on a Hysitron Triboindenter (TI) 900 with a Berkovich tip. The Berkovich tip is a very flat three sided pyramid. Indentations were performed to a controlled depth of 90 nm so as to be less than 10% of the 1 micron thickness of the copper. The same 90 nm was selected for the depth control of pad indentations for consistency. The loaddisplacement curve of each indentation was analyzed using the Oliver-Pharr method to determine the hardness of reduced modulus of the material. The results of individual indentations vary, so typically many indentations were performed and the results reported as statistics. The Hysitron Triboindenter is shown in Figure 5. A Berkovich Tip is shown in Figure 6. An example of the measured load-displacement curve for an IC 1000 pad is shown in Figure 7.

UL Figure 5: Hys itron Triboindenter 900 www.hysitron.com Figure 6: Berkovich Tip www.engineering.unl.edu/research/bm3/nanoindenter.shtml#details

3 0 New Pad 2 5 2 0-5-15 10 5 0L 0 1 0 2 0 3 0 4 0 5 0 6 0 7 0 8 0 9 0 10 0 D epth (nm Figure 7: Load-Displacement Curve For copper, the material properties were relatively uniform. The average hardness of 25 indentations of the copper coating on the wafer was 1.45 ± 0.07 GPa with a standard deviation of 0.165 GPa. The minimum hardness of any of the indentations was 1.21 GPa. For the pads, measuring hardness is not as simple. Due to the porous pad structure, many measurements would give very low hardness values while some would be relatively higher. Figure 8 gives a histogram for the measured data from Pad D demonstrating this problem.

0.5 New Pad 0.45 0.4 0.35 0.3 0.25. 0.2 0.15. 0.1. 0.05 0 A,5 I -2-1.8-1.6-1.4-1.2-1 -0.0-0.6-04 -0.2 log (H) Figure 8: Hardness Histogram Figure 8 is plotted on a logarithmic x axis because analysis of the data shows it to fit a log-normal distribution. That is to say that there are many small hardness measurements and a few large measurements. Due to the variation in pad hardness measurements, more indentations are necessary to get accurate statistics. For each pad, at least 36 indentations were performed. While these indentations are performed within a small (<lxlmm) region of a small sample of the pads, measurements from different areas of pads have been found to be statistically identical. As the hardest of all asperities is the one most relevant to scratching, the average hardness found for any pad is not as relevant as the maximum hardness. Measured hardness values for each pad are given in Table 4. These values for pad properties are in line with what can be found in the literature [1] [2] [3].

Table 4: Pad Mechanical Properties Pad Young's Modulus (GPa) Hardness (GPa) Average Std Dev Average Std Dev Maximum Ic 1000 1.24 ± 0.29 0.85 0.157 ± 0.034 0.100 0.310 Pad 82634 0.34 ±0.13 0.39 0.078 ± 0.011 0.033 0.188 D 0.30 ± 0.02 0.05 0.083 ± 0.006 0.019 0.162 E 0.64 ±0.17 0.50 0.124 ± 0.025 0.074 0.260 G 0.29 ±0.03 0.10 0.084 ± 0.014 0.043 0.205 H 0.41 ±0.04 0.11 0.109 ± 0.010 0.030 0.200 The most important characteristic to avoid scratching in Table 4 is a low maximum hardness. Table 4 illustrates that a low maximum hardness is the result of both a low average hardness and a low standard deviation of hardness. The IC 1000 pad has neither of these desirable attributes. Pads E and H also have large average hardness and large standard deviation of hardness. Pad G has low average hardness but large standard deviation of hardness. Pad D and Pad 82634 are relatively low in both categories, in particular by having extremely low deviations. Accordingly their maximum hardness values are the lowest, making these pads the least likely to scratch a wafer surface. It is important when considering this data to remember that the hardness measurements are not normally distributed, and so the idea of standard deviation and confidence intervals are unusual here. For instance the maximum hardness value can be many standard deviations above the mean. A log-normal distribution can be used to make the data fit the usual concept of standard deviation better. 3.3 Pad Topography The pad topography is important to determine the contact mechanics of the pad and

copper wafer at the single-asperity level. In particular, the effective radius of curvature of each point of contact impacts the stress distribution at the interface, which determines the relevant scratching regime. Pad topography was measured using a Tencor P-10 Surface Profilometer. The profilometer measures the deflection of a stylus as it is moved over the surface of the pad, and outputs a two-dimensional profile of the surface. The profiles were then analyzed by finding local maxima in the profiles and fitting quadratic curves to the profiles at these locations. In particular, if (xo,yo) is a local maximum, then a least squares regression was run to find the best value of 'a' such that the local profile (x,y) fits Equation 1. (y -yo)=-a(x 2 -xo) (1) In this analysis a local maxima was defined as any point that has a greater y value than any other point within 25 microns, and the regression was performed on seven data points centered on (xo,yo). The x resolution was about 0.25 microns, so this each asperity was fit to a curve 1.5 microns wide. Changing the above parameters can have a slight impact on the number of asperities identified and their precise radius of curvature, respectively. The minimum distance between asperities was chosen to be large enough that multiple maxima would not be identified on the same asperity, but small enough that individual asperities would not be missed. The width of the fit was chosen to be of the same order as the scratching, so as to measure the most relevant radius of curvature to the problem. Figures 9 and 10 below show this process. Figure 9 is a 1mm long profile with the asperities identified using the above criterion. Figure 10 has equal axis scaling and is zoomed in on the highest asperity to visualize the quadratic fit. The fit found using Equation 1 is plotted over each asperity in red.

3 2.5 2 1.5 0.5-0. -01-1.5 200 400 600 F r ic rofnis 800 1000 1200 Figure 9: Pad Profile 4 3.5 3 1.51- I I I I I I I I 7F41 7.5 g4r1 7 P4a2 7A42.5 743 743.5 F m 10r0ns 44 744.5 Figure 10: Pad Asperity and Parabolic Fit

Once the fits have been performed, the radius of curvature at the top of each asperity can be calculated using Equation 2, the approximate radius of curvature of a parabola near its maxima. R=a 2a Three 1mm profiles were taken for each pad, in which 41-43 asperity peaks were identified and radii calculated. The data and statistics for three pads is given in Table 5. Table 5: Asperity radius of curvature (microns) Pad Average Standard Deviation Minimum D 27.7 22.5 1.9 G 14.5 13.6 3.0 H 13.3 12.0 3.3 The given data is from new pad samples. In actual practice, the pads would be broken in, which has the effect of increasing the radii of curvature of the pad asperities. However, the asperities found on these pads are sharp enough that they plastically deform when in contact with copper, applying a near uniform pressure distribution to the surface of the wafer. For this reason they are plotted against the fully plastic scratching case in Figure 11.

0 35-0.3 Scratching S025 - ec 1000 a 6 0.2-0 e a 15- No Scratching *Pad 82634 0.1-0.05 ' 0 01 0.2 0.3.4.5 06 0.7 0.8.9 1 Ooelcient cf Fiction (p) Figure 11: Characterized Pads on Scratching Map In Figure 11, a point is plotted for each pad based on its hardness, the hardness of copper, and the coefficient of friction between the two. It is important that the pad hardness used be the greatest value measured, and the copper hardness be the lowest value measured as if the hardest asperity can scratch the softest area of the copper, scratching will occur. The plot shows that all of the pads except for Pad D are in the scratching region, and even Pad D is very close. As the points fall roughly in a vertical line, it is reasonable to hypothesize that the most severe scratching will occur with the IC 1000, while less will occur during CMP using the pads near the no scratching regime.

4. Experimental Validation At the end of Chapter 3, the pad properties measured were plotted using the model described in Chapter 2, and scratching by pad asperities was predicted on all of the pads except Pad D. Scratch tests were performed using the same setup as was used for measuring the coefficient of friction of the pad on the wafer. A 30 mm diameter pad sample was moved over a sample of copper coated wafer. In this experiment, the sliding distance was limited to 10 mm so that an area untouched by the cut pad edges remained in the center of the wafer sample. This area was inspected under the microscope for the presence of 1 micron scratches, as would be expected from the pad. Results of this experiment are given in Table 6. Figure 12 shows a photograph of several such scratches under an optical microscope. These scratches were likely formed by the same asperity as the pad was moved over the wafer sample multiple times to form these scratches. Figure 13 shows a scratch under SEM. Table 6: Scratch Test Results Pad Scratch Width (microns) IC 1000 1.5 D 0.8 E 1.5 Figure 12: Scratches on copper under optical microscope Figure 13: Scratches on copper under SEM

5. Conclusion While the presence of scratching during CMP continues to be a problem, this thesis presents ways to measure and predict whether scratching by pad asperities will occur and the severity of scratching based on measurable pad properties. While measuring the properties of existing CMP pads and predicting the occurrence of scratching by pad asperities is useful, it is apparent that existing CMP materials and practices will not be adequate to eliminate scratching. In particular, we have demonstrated that using typical CMP slurries, the coefficient of friction does not significantly change, as there is no special lubricating property designed into CMP slurries. Further, using different pads does not greatly affect the coefficient of friction either. This coefficient of friction is around 0.4 to 0.5 directly leads to scratching. If the coefficient of friction were reduced, either by designing a slicker pad or lubricating slurry, then scratching would be reduced Further, it has been demonstrated that using a softer CMP pad can reduce the severity of scratching. However, even the softest pad tested was a borderline case and, ultimately some scratching did occur. Different material selection or preparation for the pad leading to slightly softer pads could reduce scratching. Further, it has been demonstrated that the average or bulk hardness is only important if there is consistency, or low deviation in hardness across the pad. Careful material control is necessary to prevent an overall soft pad from having some harder asperities that cause scratching. Finally, we find that all CMP pads tested have very sharp asperities at the micron-level. These sharp asperities cause the pad the yield, resulting in large, uniform stress distributions at the interface between the pad and copper. The practice of conditioning the CMP pad helps to increase the asperity radius of curvature, but it does not entirely alleviate the problem. If CMP pads were designed to have smoother asperities, scratching would be reduced.

References [1] Eusner, Thor. "Multi-Scale Scratching in Chemical-Mechanical Polishing," Ph.D. Thesis, Department of Mechanical Engineering, MIT, Cambridge, MA. [2] Byrne, G., Mullany, B., and Young, P., 1999, "The Effect of Pad Wear on the Chemical Mechanical Polishing of Silicon Wafers," Annals of the CIRP, vol. 48/1, pp. 14 3-14 6. [3] Castillo-Mejia, D., Kelchner, J., and Beaudoin, S., 2004, "Polishing Pad Surface Morphology and Chemical Mechanical Planarization," Journal of The Electrochemical Society, vol. 151, pp.g271-g278.