Proteus. Full-Chip Mask Synthesis. Benefits. Production-Proven Performance and Superior Quality of Results. synopsys.com DATASHEET

Similar documents
Proteus WorkBench. Overview. Productivity environment for OPC development and optimization. synopsys.com DATASHEET

IC Validator. Overview. High-performance DRC/LVS physical verification substantially reduces time-to-results. Benefits. synopsys.

StarRC Custom Parasitic extraction for next-generation custom IC design

If it moves, chop it in half, then simulate it

``Overview. ``The Impact of Software. ``What are Virtual Prototypes? ``Competitive Electronic Products Faster

IC Compiler II. Industry Leading Place and Route System. Overview. Accelerating Time to Results on advanced Designs. synopsys.com.

Mask Defect Auto Disposition based on Aerial Image in Mask Production

IC Compiler Comprehensive Place and Route System

Designed and built with your business s needs in mind.

Load DynamiX Enterprise 5.2

PrimeTime Mode Merging

Introducing. Data analysis & Machine learning Machine vision Powerful script language Custom instrument drivers

Industrial IT System 800xA Engineering

HX5000 Design Flow and Infrastructure. Honeywell and Synopsys Enable Next Generation Rad-Hard ASICs

FEATURES AND BENEFITS

ABB Ability System 800xA Engineering Overview ABB

Defect report-step ABC. Figure 1: YieldManager s enhanced automation framework embeds decision making processes through data analysis

Impact of Litho on Design

2 4 1 Revenue Information by Product Groups. 4 2 Revenue by Geographic Region. 7 4 Revenue and Contract Duration

Cadence Transistor-Level EMIR Solution Voltus-Fi Custom Power Integrity Solution

Saber Automotive Overview

Instrumentation & Controls. Siemens Power Plant Automation -- SPPA-T3000. Technical Highlights. The New Benchmark in Control.

Product presentation. Fujitsu HPC Gateway SC 16. November Copyright 2016 FUJITSU

NI AWR Design Environment

What s New with the PlantPAx Distributed Control System

DeltaV OTS Express: A New Approach to Operator Training

Realize Your Product Promise. Simplorer

New Solution Deployment: Best Practices White Paper

WorkloadWisdom Storage performance analytics for comprehensive workload insight

ProfessionalPLUS Station Software Suite

Why you should rely on a Manufacturing Execution System The more intense the competition, shorter the product life cycles and stricter the legal requi

GE Intelligent Platforms. control solutions

TechniCom, Inc. 66 Mt. Prospect Avenue Clifton, NJ USA (973)

BACSOFT IOT PLATFORM: A COMPLETE SOLUTION FOR ADVANCED IOT AND M2M APPLICATIONS

What Do You Need to Ensure a Successful Transition to IoT?

IBM xseries 430. Versatile, scalable workload management. Provides unmatched flexibility with an Intel architecture and open systems foundation

IBM Tivoli Monitoring

What s New. NX Design & Simulation Smarter decisions, better products. Jan Larsson. June 4 th, 2012 Siemens PLM Connection Česká republika 2012

HID Real-Time PCR Analysis Software v1.3

Experion Batch Product Note

i2 Demand Planner i2 SCM Solution i2 Demand Planner ... React to changing demand factors Track all end product options and components

Experion PKS Platform. Integrating Process Control and Safety Through the Unified Experion Platform

DELL EMC XTREMIO X2: NEXT-GENERATION ALL-FLASH ARRAY

WIND RIVER SIMICS WHEN IT MATTERS, IT RUNS ON WIND RIVER DEVELOP SOFTWARE IN A VIRTUAL ENVIRONMENT

REMOTE INSTANT REPLAY

NX Nastran performance

A New Way to Extract More Value from Your Production Supply Chain. with Production Accounting and Reconciliation (PAR)

MAXIMIZE POWER AND EFFICIENCY WITH PADS PLACEMENT AND ROUTING JIM MARTENS, MENTOR GRAPHICS

Automotive Electronics Reliability Flow Improving Electronics Quality for Automotive Systems

IBM Spectrum Scale. Advanced storage management of unstructured data for cloud, big data, analytics, objects and more. Highlights

IoT Demands New Approach to MCU-based Embedded Designs Complex Designs Take Time

Sharif University of Technology Introduction to ASICs

Mathcad : Optimize your design and engineering process.

TouchPoint Sales Solution Sheet

CA Aion Business Rules Expert r11

MiCloud Engage Contact Center

KINETIX INTEGRATED MOTION REALIZE THE POWER OF THE INTEGRATED ARCHITECTURE. 6 / 2011

Title page - CLEO Baltimore, May 9, 2007 Software Engineering Processes Used to Develop the NIF Integrated Computer Control System* A.

embedded hmi system zenon Operator Make the best out of your plant: Easy and intuitive handling, secure operation and ergonomic control

HP Cloud Maps for rapid provisioning of infrastructure and applications

Profit Suite R300. Release Highlights

proalpha ERP CAD Integration ERP for SMEs

The Cadence Sigrity Products. Srdjan Djordjevic Senior Sales Technical Leader

Rethinking SoC Verification Enabling Next-Generation Productivity & Performance

LIMS in Petrochemical & Refinery Industries. Steve Kemp Channels Manager ThermoFisher Scientific

Xilinx UltraScale MPSoC Architecture

IBM Accelerating Technical Computing

Models in Engineering Glossary

H i g h S p e e d, R e l i a b l e a n d R o b u s t P l a n t H i s t o r i a n

MANUFACTURING EXECUTION SYSTEM

Completing the performance picture.

Cadence Virtuoso Custom Design Platform

Teledyne DALSA Industrial Products. Embedded vision for industry

Design Virtualization and Its Impact on SoC Design

Huawei Technologies, Inc.

Mathcad : Optimize your design and engineering process.

5 Things to Consider Before Implementing Your Next Automation System Project

SIWAREX FTC The belt scales in SIMATIC More than just standard. siwarex ftc

Five Key Features Required for a Perfect Fit Distributed Control System

QPR ScoreCard. White Paper. QPR ScoreCard - Balanced Scorecard with Commitment. Copyright 2002 QPR Software Oyj Plc All Rights Reserved

Océ COSMOS. Starting into new worlds. The workflow. management system. for your input and. output processes

Delivering High Performance for Financial Models and Risk Analytics

>Vision Systems PRODUCT GUIDE

AMAZINGLY CAPABLE REMARKABLY AFFORDABLE. Avio 200 ICP Optical Emission Spectrometer. For research use only. Not for use in diagnostic procedures.

Emerson Digital Twin: A Key Technology for Digital Transformation

Hardware Simulator Performance Scaling to Meet Advanced Node SoC Verification Requirements

Oracle Value Chain Planning Demantra Demand Management

DELMIA V5.17 extends the IBM Product Lifecycle Management solutions portfolio

Advanced Information and Control Software Packages for the MICREX-NX

NetBoss Technologies Integrated Service Assurance

Infor PM for Manufacturing

Evaluating Enterprise Architectures through Executable Models

Model-Driven Design-Space Exploration for Software-Intensive Embedded Systems

optislang 5 David Schneider Product manager

Next Generation Design with NX

ACTERNA NETANALYST TEST MANAGEMENT SOFTWARE AUTOMATED, CENTRALIZED NETWORK TESTING

Efficient generation management and operation management

SIMATIC PCS 7 Process Control System New Perspectives for Increased Productivity 2005 Highlights. simatic PCS7

Hierarchical Design and Analysis Environment. Improve performance and capacity while reducing design time

Enhanced clarity throughout your organization and premises

Transcription:

DATASHEET Proteus Full-Chip Mask Synthesis Proteus provides a comprehensive and powerful environment for performing full-chip proximity correction, building models for correction, and analyzing proximity effects on corrected and uncorrected IC layout patterns. Proteus mask synthesis products (Figure 1) are the tools of choice for leading edge IDMs and foundries and have been production proven for over a decade. Proteus Pipeline Technology provides a fully concurrent tapeout flow for maximum CPU utilization and is a major departure from serial manufacturing flows, in which a complete post-optical proximity correction (OPC) database must be available before the latter applications can be initiated. Using a dual-domain simulation engine which combines the strength of field-based simulation and flash-based simulation, Proteus delivers the most accurate OPC results and fastest turnaround time for both dense and sparse designs. Proteus programmability and modularized applications provide optimal flexibility while ensuring protection of valuable customer IP. Benefits Production-proven performance and superior quality of results Fully pipelined tapeout flow to efficiently utilize expensive hardware resources The most accurate, easy to use, and flexible modeling environment Production-proven comprehensive suite of RET applications Proteus LRC through process window lithography verification Sentaurus Lithography rigorous simulation interfaces within Proteus manufacturing tools Best cost of ownership through the use of optimized general purpose hardware Core technology uniquely connects manufacturing information to design Production-Proven Performance and Superior Quality of Results Dual-domain simulation allows for the flexibility to choose from two powerful simulation algorithms in one platform; field-based simulation and flash-based simulation. It also enables the fastest simulation for any process node and pattern density. The field-based engine simulates in the frequency domain and computes the entire field at once. Since the simulation is done everywhere, it is ideal for applications requiring dense simulations. Flash-based simulation simulates in the geometry domain and is ideal for sparse simulation. The two types of simulation shown in Figure 2 provide users with the flexibility to choose the best simulation methodology for their specific technology and flows. synopsys.com

Minimal effort is required to convert a model and recipe from flash-based format to field-based format; a few clicks in the easy-to-use graphical user interface perform the conversion. No new calibration or silicon verification is required. Users can maximize their return on investment by choosing the fastest simulation algorithm for a given process node and pattern density, while reusing learning between technology nodes. IP Blocks Libriaries Syn. Design Planning Physical Implementation Physical Verification Mask Synthesis Mask Data Preparation Mask Manufacturing Silicon Manufacturing Proteus RBAF2D Rule-based AF placement Proteus LRC Next-Generation Verification Proteus WorkBench/ICWB Plus OPC Modeling and Recipe GUI ProGen Most Accurate Modeling Proteus MLO Highly-Scalable Manufacturing-Centric Layer Operations Proteus OPC Fastest OPC, Dual-domain OPC Flexible and Programmable Correction Language and Engine Proteus DPT Double-Patterning Techniques Test and Packaging Figure 1: Proteus is a full-chip mask synthesis suite Fully Pipelined Tapeout Flow to Highly Utilize Expensive Hardware Resources The industry-unique Proteus Pipeline Technology (Figure 3) utilizes a novel concurrent data-processing mode by which computational resources are uniformly engaged and the effects of I/O latencies are masked by synchronal processing. Data and computational tasks are distributed over cluster resources so that applications such as resolution enhancement techniques. (RET), OPC, mask rule checking (MRC), lithography rule checking (LRC), mask data preparation (MDP), and user-customized geometry operations are executed concurrently. Customers benefit by reduced total mask tape-out turnaround time (TAT) and improved hardware utilization, compared to conventional flows that require each sequential stage in the mask tapeout flow to generate a complete database before handing it off to the next stage. Flash: Discrete sampling in space domain at polygon edges. Field: Discrete sampling in frequency domain across the entire image. Figure 2: Dual-domain simulation. Flexibility to choose from two powerful simulation algorithms in one platform; field-based simulation and flash-based simulation 2

Without the need to hold complete databases at any point, cluster memory footprint is drastically reduced. Proteus Pipeline Technology benefits include: Reduced total mask tape-out TAT Improved hardware utilization Concurrent processing of all Proteus and CATS applications Removal of intermediate I/0 and hierarchical management steps Reduced cluster memory footprint Effortless job-flow environment, offering both ease-of-use and programmability Template-aware environment for MRC applications Single recipe and layer-operation (Proteus MLO) environment for customization of all pre-opc, OPC and post-opc jobs Highly scalable distributed processing and efficient hierarchy delivers specified target TAT via parallel processing Proteus products are designed to run on multiple CPUs for fast TAT. A large design database can be dissected, distributed and processed simultaneously using multiple CPUs for shortened cycle time. Users have the flexibility to choose the desired number of CPUs to meet their target TAT. In addition, Proteus is a pioneer in enabling fast TAT through highly efficient hierarchical processing. Proteus achieves the specified TAT by effectively minimizing the correction redundancy and curtailing correction data expansion. Designs with memory or many repetitive blocks benefit significantly from the Proteus hierarchy processing. Traditional Manufacturing Flow Fracture GDSII GDSII GDSII FF* Mask Manufacture OPC LRC MRC Time Proteus Pipeline Technology Flow GDSII FF* Mask Manufacture Time *Fractured Formats Figure 3. Proteus Pipeline Technology is a fully pipelined tapeout flow that maximizes CPU utilization, reduces memory footprint and significantly reduces TAT 3

Fast model regression through highly distributed parallel architecture At advanced process nodes, a large number of optical and resist parameters must be tuned correctly to achieve an accurate model. ProGen supports multi- CPU parallel processing for fast, accurate model creation. ProGen offers near linear scalability and over 37X regression time reduction. As a result, significant savings in model regression time are realized (Figure 4). 500 Run Time Reduction Running Time (Minutes) 400 300 200 100 37X Reduction 0 1 2 5 20 40 60 CPUs Figure 4: Scalability of ProGen distributed regression Most Accurate, Easy to Use, Flexible Modeling Environment Reliable and predictable models through advanced resist profile modeling ProGen compact models use advanced resist profile modeling techniques, providing the best foundation for highly accurate and predictable models through the process window. In addition, ProGen provides a seamless interface to Sentaurus Lithography, the industry standard for rigorous lithography simulation. Menu Bar Tool Bar Project View Workspace Command Pane Figure 5. Proteus WorkBench s PMB module for automated model building Model calibration and recipe tuning automation The ProGen Model Builder (PMB) module within Proteus WorkBench is the most highly automated model calibration utility on the market (Figure 5). PMB steps the user through a series of prompts for information about their lithography process and measurements of silicon structures. It uses this information and incorporates modeling best practices and expertise embedded in the tool to provide accurate models for use in OPC, LRC, and RETs. 4

PMB benefits include: Fully-automated calibration environment to eliminate user subjectivity Built-in modeling best practices Default algorithms emulating Proteus expertise Intuitive GUI to ensure model consistency and optimal Time-To-Accurate-Model Highly accurate models through staged modeling Based on field-based simulation, stagedmodeling describes optics, resist, etch, and mask phenomena one at a time. This enables accurate description of each process step. Highly predictive process window modeling Process Window (PW) modeling facilitates hot spot detection during verification and correction across the process window. Proteus uses a parameterized approach to generate models across the process window. Models at non-nominal conditions are built by extrapolation of the focus and dose shift from the nominal condition, without involving the laborious steps in building each model in a repetitive manner (Figure 6). Dose -Defocus Dose Defocus Dose Nominal Model Defocus -Defocus Dose - Defocus Dose - Extrapolation Figure 6: ProGen parameterized process window modeling Production-proven, Comprehensive Suite of RET Applications At advanced process nodes, the usable process window becomes extremely small. RETs such as Inverse Lithography Technology and Double-Patterning Techniques (DPT) become necessary to enhance the pattern fidelity and enlarge the process windows. Proteus provides a production-proven and comprehensive suite of RET applications to address these advanced technology needs. Inverse Lithography Technology Proteus ILT uses inverse-lithography technology for model-based assist feature positioning and main feature correction (Figure 7) supporting both contact and line/space layers. The optimization process is at both the pixel and polygon level enabling optimal correction and assist feature placement in tight multi-pitch and complex geometry situations for the largest possible process window. Proteus ILT incorporates a printability check to ensure that no assist features will be printed on silicon and can be used to optimize assist features that have already been placed with rules. This correction option can be applied full chip or run in line with Proteus OPC and only applied where it is needed most for optimal turn-around time and maximum process window. Proteus ILT benefits include:greater degrees of freedom for optimal OPC convergence and larger process windows Flexible ILT/OPC hybrid flows to minimize runtime More robust and symmetric AF placement without complex scripting No empirical data required for AF placement ILT-based hotspot fixing for faster time to market 5

Figure 7: Proteus MBAF IMT placements on contacts Production-proven Proteus DPT Proteus DPT offers unmatched design compliance checking and cost-based solver, reducing design-rule violations. Proteus DPT ensures decomposition symmetry through mask-rule constraints (MRC), as shown in Figure 8, resulting in uniform mask density and hierarchy retention in all design types, which minimizes runtime. Color seeding is offered to enable more design and route-level control as additional means to retain hierarchy and to minimize runtime. Proteus DPT operates in the Proteus Pipeline to facilitate the most cost-efficient decomposition techniques on the market. Without Constraints With Constraints Asymmetric with problematic printing Symmetric and optimized solution Figure 8: MRC turned on in Proteus DPT enforces symmetry Proteus LRC Lithography Verification Proteus LRC provides a comprehensive set of process window aware check functions to identify traditional process failures before committing a design to manufacture. For the unique challenges associated with double patterning processes, there are DPT-specific functions to reduce deployment time and consolidate results for efficient review. The highest level of accuracy is achieved with industry-proven OPC models and embedded access to rigorous first-principle models from Sentaurus Lithography for thorough analysis of resist profile and topography affects (Figure 9). Built on the Proteus engine, Proteus LRC is fully integrated into the Proteus Pipeline Technology for near-linear scalability to support the large data volume and dense transistor designs of the most demanding leading-edge full-chip applications. Proteus LRC is completely enabled for EUV deployment with the lowest cost of ownership on standard x86 hardware. Sentaurus Lithography Rigorous Simulation Interfaces Within Proteus Manufacturing Tools Sentaurus Lithography is the reference simulator for all lithographic process solutions, and with the 20nm node and beyond, there is demand to have rigorous simulation reference flows within the manufacturing environment. Sentaurus Lithography resist model calibration is now fully integrated into Proteus WorkBench allowing users to supplement experimental data by rigorous simulation results and validate compact model performance. Sentaurus Lithography is also embedded into Proteus LRC for automated rigorous calls on marginal verification checks. Lastly, a Sentaurus Lithography interface resides within Proteus OPC to execute localized rigorous correction when needed. 6

Figure 9: Proteus Error Analysis Module in Proteus WorkBench Core Technology Uniquely Linked to Synopsys Manufacturing Solutions Mask synthesis impacts yield and manufacturability of designs and is a key component of a complete Manufacturing solution. Proteus provides the core manufacturing link for multiple Synopsys tools, including Yield Management and PrimeYield LCC, enabling key Manufacturing links across the Synopsys portfolio. For more information please contact your local sales representative or email us at manufacturing@synopsys.com. 2018 Synopsys, Inc. All rights reserved. Synopsys is a trademark of Synopsys, Inc. in the United States and other countries. A list of Synopsys trademarks is available at synopsys.com/copyright.html. All other names mentioned herein are trademarks or registered trademarks of their respective owners. 02/09/18.CS12351_Proteus DS.