E-Beam Coating Technology for EUVL Optics

Similar documents
Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

The effect of Mo crystallinity on diffusion through the Si-on-Mo interface in EUV multilayer systems

Mo/Si Multilayers with Different Barrier Layers for Applications as Extreme Ultraviolet Mirrors

EUV optics lifetime Radiation damage, contamination, and oxidation

EUV Technology, Martinez, CA

EUV multilayer coatings: potentials and limits

Roadmap in Mask Fab for Particles/Component Performance

Recovery strategies for mirrors with boron carbide-based coatings for 6.x nm lithography

Effects of Thin Film Depositions on the EUV mask Flatness

At wavelength characterization of EUV and soft X-ray gratings

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Polarizing and non-polarizing mirrors in far UV for the Hydrogen Lyman-α radiation (λ = 121.6nm)

Control technology of EUV Optics Contamination:

Strategies for Cleaning EUV Optics, Masks and Vacuum Systems with Downstream Plasma Cleaning

U.S. Regional Update IEUVI Optics Lifetime/Contamination TWG October 19 th, 2006

Enhancement of extreme ultraviolet emission from laser irradiated targets by surface nanostructures

Development Status of EUVL Blank and Substrate Asahi Glass Co. Ltd. Kazunobu Maeshige

Progress in EUV blanks development at HOYA

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Grazing-Incidence Metal Mirrors for Laser-IFE

EUV Defect Repair Strategy

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

Polymer-based optical interconnects using nano-imprint lithography

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

Simultaneous Reflection and Transmission Measurements of Scandium Oxide Thin Films in the Extreme Ultraviolet

Development Status of EUVL Blank and Substrate

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Low energy electron bombardment induced surface contamination of Ru mirrors

EUVL R&D Program in NewSUBARU. University of Hyogo Hiroo Kinoshita

Interface quality and thermal stability of laser-deposited metal MgO multilayers

Laser Produced Plasma for Production EUV Lithography

EUV Transmission Lens Design and Manufacturing Method

Figure 6. Rare-gas atom-beam diffraction patterns. These results were obtained by Wieland Schöllkopf and Peter Toennies at the Max-Planck Institute

FEL Irradiation Tolerance of Multilayer Optical System

Prometheus-L Reactor Building Layout

EUV Transmission Lens Design and Manufacturing Method

In-situ laser-induced contamination monitoring using long-distance microscopy

Introduction to Lithography

Active Composite Membrane Mirrors

Skills and excellence formation on basis of Laboratory of Plasma Physics & Atomic Spectroscopy Institute of Spectroscopy (ISAN) of Russian Academy of

2008 Summer School on Spin Transfer Torque

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

Application of sprayed carbon nanotubes to light detectors

Plasma cleaning of lithium off of collector optics material for use in extreme ultraviolet lithography applications

Fabrication Technology, Part I

Supporting Information for

Understanding the mechanism of capping layer damage and development of a robust capping material for 16 nm HP EUV mask

The Effect of Debris on Collector Optics, its Mitigation and Repair: Next-Step a Gaseous Sn EUV DPP Source

Optical parameter determination of ZrO 2 thin films prepared by sol gel dip coating

Platypus Gold Coated Substrates. Bringing Science to the Surface

Grazing-Incidence La/B-Based Multilayer Mirrors for 6.x nm Wavelength

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Using Atomic Force Microscopy (AFM) for Engineering Low Scatter Thin Film Optics

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

Characterization of collector optic material samples before and after exposure in LPP and DPP EUV sources

Red luminescence from Si quantum dots embedded in SiO x films grown with controlled stoichiometry

EUV Source Supplier Update, Gigaphoton

High Rate low pressure PECVD for barrier and optical coatings

Damage Threats and Response of Final Optics for Laser-Fusion Power Plants

EUV Mask Defect Reduction : Status and Challenges

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

State of the art in silicon immersed gratings for space - Aaldert van Amerongen, Hélène Krol, Catherine Grèzes-Besset, Tonny Coppens, Ianjit Bhatti,

Solar Selective Absorber Coating Methods Plasma Processes

Processing guidelines

Previous Lecture. Vacuum & Plasma systems for. Dry etching

ALD systems and SENTECH Instruments GmbH

PATTERNING OF OXIDE THIN FILMS BY UV-LASER ABLATION

micro resist technology

PROCEEDINGS OF SPIE. Thin-film polarizer for high power laser system in China

Tin removal from extreme ultraviolet collector optics by inductively coupled plasma reactive ion etching

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection

Crack Morphology in a Columnar Thermal Barrier Coating System

Dielectric II-VI and IV-VI Metal Chalcogenide Thin Films in Hollow Glass Waveguides (HGWs) for Infrared Spectroscopy and Laser Delivery

Corrosion-resistant multilayer coatings for the nm wavelength region

GEMINI 8-M Telescopes Project

ZEISS Mineralogic Mining Iron Oxide Analysis by Automated Mineralogy. Technology Note

Electron Beam Induced Processes and their Applicability to Mask Repair

An innovative approach to coatings on large optics

Fundamentals of X-ray diffraction and scattering

PLASMA FLOW AND PLASMA EXPANSION AROUND 3D OBJECTS IN METAL PLASMA IMMERSION ION IMPLANTATION

(12) Patent Application Publication (10) Pub. No.: US 2013/ A1

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Mask Substrate/Blank Cleaning Progress Challenges

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Hafnium(IV) Oxide Patinal

Vacuum Deposition of High Performance Gas Barrier Materials for Electronics Applications

Electron permeable membranes for MEMS electron sources

Thin Film Micro-Optics

Hafnium(IV) Oxide Patinal

CONTROLLING IMAGE PLACEMENT ERRORS DURING THE FABRICATION OF EUVL MASKS

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Hafnium oxide thin films deposited by reactive middle-frequency dual-magnetron sputtering

A STUDY OF THE EFFECTIVENESS OF THE REMOVAL OF HYDROCARBON CONTAMINATION BY OXIDATIVE CLEANING INSIDE THE SEM.

Perpendicular Giant Magnetoresistance in a 0.4 pm Diameter Multilayer Sensor

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

EECS130 Integrated Circuit Devices

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Transcription:

E-Beam Coating Technology for EUVL Optics Eric Louis, Andrey Yakshin, Sebastian Oestreich, Peter Görts, Marc Kessels, Edward Maas and Fred Bijkerk Institute Rijnhuizen, Nieuwegein, The Netherlands Stephan Müllender and Markus Haidl Carl Zeiss, Oberkochen, Germany Johannes Tümmler, Frank Scholze, and Gerhard Ulm Physikalisch-Technische Bundesanstalt (PTB), Berlin, Germany 2 nd International EUV Lithography Workshop, 17-19 October 2000, San Francisco, California

multilayer work Multilayer performance - R = 69.5 % @ 13.0 nm > 68 % routinely - temporal stability - contamination under EUV exposure - resistivity to cleaning Scaling of coating technology - coating realistic test surfaces (6 inch) flat & curved - automation of process - first results volume production Conclusions

Mo/Si Reflectivity SXR measurement at PTB/BESSY (R @ 1.5 off-normal, time scan) Uncapped Mo/Si E-beam deposition method 80 70 69.5% +/- 0.2% @ 13.0 nm 60 50 40 = 0.50 nm 30 20 10 0 12 12.5 13 13.5 14 Wavelength (nm)

Temporal behaviour Mo/Si coatings Peak reflectivity @ 13.0 nm (near-normal incidence) unexposed ML (storage in air) 69.0 68.5 68.0 top layer Si + SiO 2 67.5 67.0 top layer Si + C-cap 66.5 66.0 0 2 4 6 8 10 12 14 16 18 20 Time (months) Peak reflectivity SiO 2 cap: no loss 1.5 year C cap: < 0.2% loss 1.5 year (PTB uncertainty: +/- 0.2%) d-spacing No measurable change in λ max No sign of reflectivity loss over 1.5 year period

Lifetime of EUVL optics under radiation loads Issues to be assessed surface degradation (oxidation, etc.) surface contamination (carbon deposition) Model: Jonkers & Bisschops physisorption equilibrium: few monolayers EUV induced cracking chemisorption stack degradation (intermixing, change of layer microstructure, etc.) Feasibility of cleaning the optics cleaning by UV-generated O 3 Ref: S. Oestreich et al; SPIE 4146-07, San Diego 2000 Rijnhuizen - Laser Plasma & XUV Optics 1

Exposure under clean conditions Collaboration with Roman Klein, PTB, Berlin 9.5 h @ 2.5 mw/mm 2 (total: 84.6 J/mm 2 ) 3x10-8 mbar mainly water no C x H y added unbaked system No ML degradation in vacuum without C x H y Rijnhuizen - Laser Plasma & XUV Optics 2

Exposure under C x H y -rich conditions 0.13 mw/mm 2 (total: 4.6 J/mm 2 ) partial C 30 H 22 O 4 ~2x10-10 mbar (Santovac-5 at 39 C) total ~1x10-7 mbar Measurable reflectance loss in C x H y -rich conditions SEM analysis shows C-contamination Rijnhuizen - Laser Plasma & XUV Optics 3

Ozone cleaning of ML mirrors Collaboration with Bas Mertens, TNO/TPD, Delft, NL UV produced ozone O 3 O Low heat load Standard ML samples (not contaminated) Settings typically lead to 4 nm/min removal of C (TNO/TPD data on carbon contaminated samples) Mo/Si mirrors withstand O 3 -treatment Rijnhuizen - Laser Plasma & XUV Optics 4

multilayer work Multilayer performance - R = 69.5 % @ 13.0 nm > 68 % routinely - temporal stability - contamination under EUV exposure - resistivity to cleaning Scaling of coating technology - coating realistic test surfaces (6 inch) flat & curved - automation of process - first results volume production Conclusions

Uniformity on 6 flat Status deposition on 6 flat ULE substrate SXR measurement at PTB/BESSY (centroid wavelength of R(λ) @ 1.5 off-normal) 1.00% 0.80% 0.60% 0.40% 0.20% 0.00% -0.20% ± 0.05 % -0.40% -0.60% -0.80% -1.00% -80-60 -40-20 0 20 40 60 80 Distance to centre of substrate [mm] Uniformity coating within ± 0.05% over 6 diameter Ref: E. Louis et al; SPIE 4146-06, San Diego 2000

Uniformity on 6 curved deposition on 6 RoC 381 mm substrate SXR measurement at PTB/BESSY (centroid wavelength of R(λ) @ 1.5 off-normal) 1.00% 0.80% 0.60% 0.40% 0.20% 0.00% -0.20% ± 0.05 % -0.40% -0.60% -0.80% -1.00% -80-60 -40-20 0 20 40 60 80 distance to centre of substrate [mm] Uniformity coating within ± 0.05% over 6 diameter on RoC 381 mm Ref: E. Louis et al; SPIE 4146-06, San Diego 2000

Upgrade productivity e-beam deposition Current set-up at multi-purpose R&D facility, not optimized for production fully automated process present electron-gun used at low power upgrade to faster deposition feasible: full stack Mo/Si in 4.5 hours demonstrated Further enhancement investigated with commercial coating equipment evaporation flux of e-beam scales with power e-beam commercial equipment materials change time ~ seconds pilot experiments high-speed deposition carried out show good perspective for high R coatings Full stack deposition within 1-1.5 hours feasible Experimental verification in progress

pilot experiment commercial e-beam system full stack Mo/Si multilayer no in-situ monitoring during growth 1.E+00 Cu-K reflectivity ( = 0.154 nm) 1.E-01 1.E-02 1.E-03 1.E-04 1.E-05 1.E-06 1.E-07 1.E-08 0 2 4 6 8 10 angle [degrees] Pilot experiments result in periodical stack with smooth interfaces( rms = 0.3 nm)

Result pilot experiment commercial equipment SXR measurement at PTB/BESSY (R @ 1.5 off-normal) full stack Mo/Si produced in commercial e-beam evaporator high speed deposition process 70% 60% 63.7% +/- 0.2% @ 14.4 nm 50% 40% 30% 20% 10% 0% 12.5 13 13.5 14 14.5 15 15.5 Wavelength (nm) Pilot experiments result in high reflectivity Process not yet fully optimized; missing factors identified

Conclusions R=69.5% obtained (R > 68% routinely) R and centroid stable over 1.5 year period No reduction R under EUV exposure in clean vacuum Multilayers withstand UV/O 3 treatment perspective to resolving C-contamination Uniformity centroid within ± 0.05% over 6 on flat and curved surface Coating process fully automated First ML s from commercial e-beam equipment: R = 63.7% perspective to volume production Rijnhuizen - Laser Plasma & XUV Optics 5

Acknowledgements Carl Zeiss (Oberkochen) ASM Lithography (Veldhoven) Foundation for Fundamental Research on Matter http://www.fom.nl/ and /www.rijnh.nl/ Technology Foundation (Utrecht) Bernd Meyer, David Rost, and Roman Klein, Physikalisch Technische Bundesanstalt, Berlin, Germany Norbert Koster and Bas Mertens, TNO/TPD, Delft, The Netherlands Han de Witte, Rijnhuizen, Nieuwegein, The Netherlands