Development of different copper seed layers with respect to the copper electroplating process

Similar documents
ALD of Copper and Copper Oxide Thin Films for Applications in Metallization Systems of ULSI Devices

Properties and Barrier Material Interactions of Electroless Copper used for Seed Enhancement

Effect of barrier layers on the texture and microstructure of Copper films

New Precursors for CVD Copper Metallization

11:30 AM - C4.4 Chemical Vapor Deposition of Cobalt Nitride and Its Application as an Adhesion-enhancing Layer for Advanced Copper Interconnects

MICROCHIP MANUFACTURING by S. Wolf

Copper Interconnect Technology

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Development of Low-resistivity TiN Films using Cat Radical Sources

Extending Etch and Deposition Capabilities for Implementation of 3D Packaging of MEMS in Volume Production

IC Fabrication Technology Part III Devices in Semiconductor Processes

Alternatives to Aluminium Metallization

In situ SEM observation of electromigration phenomena in fully embedded copper interconnect structures

Make sure the exam paper has 9 pages total (including cover page)

Supplementary Materials for

DEPOSITION AND CHARACTERISTICS OF TANTALUM NITRIDE FILMS BY PLASMA ASSISTED ATOMIC LAYER DEPOSITION AS CU DIFFUSION BARRIER

Properties of TiN thin films grown on SiO 2 by reactive HiPIMS

PROCESS INTEGRATION ISSUES OF LOW-PERMITTIVITY DIELECTRICS WITH COPPER FOR HIGH-PERFORMANCE INTERCONNECTS

TSV Interposer Process Flow with IME 300mm Facilities

Metallizing High Aspect Ratio TSVs For MEMS Challenges and Capabilities. Vincent Mevellec, PhD

1.1 Background Cu Dual Damascene Process and Cu-CMP

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

3D technologies for integration of MEMS

1 MARCH 2017 FILM DEPOSITION NANOTECHNOLOGY

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

Lecture Day 2 Deposition

Exam 1 Friday Sept 22

Overview of Dual Damascene Cu/Low-k Interconnect

Deposition Technologies for >500GB/in 2 and HAMR Write Heads PMR

Intlvac Nanochrome I Sputter System (intlvac_sputter)

Outline. Interconnect scaling issues Polycides, silicides and metal gates Aluminum technology Copper technology

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Recrystallization in CdTe/CdS

In-situ Monitoring of Atomic Layer Deposition Processes

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

ECE321 Electronics I

Low Temperature Dielectric Deposition for Via-Reveal Passivation.

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Characterization of interfacial reactions between ionized metal plasma deposited Al 0.5 wt.% Cu and Ti on SiO 2

Bottom-Up Fill for Submicrometer Copper Via Holes of ULSIs by Electroless Plating

Pulsed Nucleation Layer of Tungsten Nitride Barrier Film and its Application in DRAM and Logic Manufacturing

Despite Hype, Atomic Layer Deposition Shows Promise

Czochralski Crystal Growth

Thermal Evaporation. Theory

SUPPLEMENTARY INFORMATION

Silver Diffusion Bonding and Layer Transfer of Lithium Niobate to Silicon

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Investigation of overpotential and seed thickness on damascene copper electroplating

Growth and Doping of SiC-Thin Films on Low-Stress, Amorphous Si 3 N 4 /Si Substrates for Robust Microelectromechanical Systems Applications

Method For Stripping Copper In Damascene Interconnects >>>CLICK HERE<<<

Chapter 4 : ULSI Process Integration (0.18 m CMOS Process)

ECSE 6300 IC Fabrication Laboratory Lecture 8 Metallization. Die Image

Device Fabrication: Metallization

Atomic Layer Deposition(ALD)

SKW Wafer Product List

Available online at ScienceDirect. Procedia Engineering 79 (2014 )

Nucleation and growth of nanostructures and films. Seongshik (Sean) Oh

Cu electroplating in advanced packaging

Platypus Gold Coated Substrates. Bringing Science to the Surface

SIMULATION OF THE DEPOSITION OF BCC METALS INCLUDING ANISTROPIC EFFECTS USING 3D-Films

Photovoltaics & Solar Thermals. Thin-film equipment. Customized. FHR Anlagenbau GmbH I

Polishing of Bulk Micro-Machined Substrates by Fixed Abrasive Pads for Smoothing and Planarization of MEMS Structures

Advanced Metrology for Copper/Low-k Interconnects

Direct Plating of Low Resistivity Bright Cu Film onto TiN Barrier Layer via Pd Activation

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Supplementary Figure 1. Schematic for the growth of high-quality uniform

Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

Pre-treatment of low temperature GaN buffer layer deposited on AlN Si substrate by hydride vapor phase epitaxy

Effect of impurity on Cu electromigration

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Roman Chistyakov and Bassam Abraham Zond Inc/Zpulser LLC, Mansfield, MA

via into the critical line above. A 20% resistance increase defines the failure of the test structure.

Ultra High Barrier Coatings by PECVD

Chapter 3 Silicon Device Fabrication Technology

Lecture 10. Metallization / Back-end technology (BEOL)

Effect of barrier process on electromigration reliability of Cu/porous low-k interconnects

Semiconductor Manufacturing Technology. Semiconductor Manufacturing Technology

Fabrication of high power GaN transistors F. Medjdoub CNRS - IEMN

A Study on Seed Damage in Plating Electrolyte and Its Repairing in Cu Damascene Metallization

In#uence of CdS growth process on structural and photovoltaic properties of CdTe/CdS solar cells

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Structure and Chemical Analysis of Carbon Nanotubes Grown on Diamond Substrate Using Three Different Techniques MRS: November 30, 2010

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

2Dlayer Product Catalog

Comparison of Missing Metal Defect Formation on He In-Situ and Furnace Annealed Electroplated

Deposition and characterization of sputtered ZnO films

Enhancement of Copper Wetting via Surfactant-Based Post-Treatment of Ultra-Thin Atomic Layer Deposited Tantalum Nitride Liners

2008 Summer School on Spin Transfer Torque

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

EE 330 Lecture 12. Devices in Semiconductor Processes

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Lecture 22: Integrated circuit fabrication

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Effect of substrate heating on elimination of pinholes in sputtering deposited SiO2 films on LiNbO3 single crystal substrates

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

Transcription:

Microelectronic Engineering 50 (2000) 433 440 www.elsevier.nl/ locate/ mee Development of different copper seed layers with respect to the copper electroplating process a, a a b b b K. Weiss *, S. Riedel, S.E. Schulz, M. Schwerd, H. Helneder, H. Wendt, a T. Gessner a Chemnitz University of Technology, Center of Microtechnologies, D-09107 Chemnitz, Germany Siemens AG, Semiconductor, Technology Development Multilevel Metallization, D-81730 Munchen, Germany b Abstract Two types of copper seed layers deposited by MOCVD and long throw sputtering (LTS) onto a tantalum barrier layer were used for electroplating (EP) of copper in the forward pulsed mode. MOCVD and PVD copper seed layers were compared with respect to step coverage, electrical resistivity, texture and adhesion behaviour. The different properties induce different electroplating fill attributes, including grain size and adhesion behaviour. MOCVD Cu seed layers show high step coverage, but do not adhere to the Ta barrier after the Cu EP. LTS Cu reveal strong (111) texture and excellent adhesion before and after Cu EP. Therefore, a CMP process could only be performed on patterned wafers with PVD/EP copper to obtain electrical data. The fabricated Cu lines show a high yield with respect to opens and shorts and standard deviations of the line resistance across the wafer. 2000 Elsevier Science B.V. All rights reserved. Keywords: MOCVD; PVD; Copper; Seed layer; Electroplating 1. Introduction The transition from aluminium to copper interconnects introduces new processes and materials into semiconductor manufacturing, e.g., dual damascene process flow, Cu electroplating and new diffusion barrier materials. Electroplating is an attractive deposition method for copper with the need for a conformal seed layer [1]. This seed layer can be provided by several deposition techniques. Particular properties including low thickness concurrent with coherence, low electrical resistivity, smooth surface and good adhesion to the barrier layer are required. In this paper the development of PVD and MOCVD copper seed layers is reported with respect to requirements of the electroplating process. 2. Experimental 150 mm substrates were used with 50 nm IMP PVD Ta and 20 nm PVD TiN as barrier layer. *Corresponding author. Fax: 1 49-371-531-3131. E-mail address: kristin.weiss@e-technik.tu-chemnitz.de (K. Weiss) 0167-9317/ 00/ $ see front matter 2000 Elsevier Science B.V. All rights reserved. PII: S0167-9317(99)00312-3

434 K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 Patterned wafers have 1000 nm deep single damascene test structures in SiO 2. Copper seed layers were deposited by MOCVD and long throw sputtering (LTS). The MOCVD process was performed ex situ on an Applied Materials Precision 5000 cluster tool using a precursor mixture of Cu(hfac)TMVS with the additives H(hfac)? 2 H2O (0.4 wt%) and TMVS (5 wt %). LTS Copper was deposited at 508C in an Applied Materials Endura PVD tool in situ with the Ta barrier to achieve a smooth and conformal seed layer. Copper fill was done by electroplating with OMI Cubath SC chemistry and forward pulsed conditions using modified Semitool Equinox Radial equipment. The wafers were annealed afterwards at 2508C for 10 min in forming gas (5% H2 in N 2) to avoid self annealing effects and to provide stable layer conditions for CMP processing. Finally, copper and barrier CMP was performed on a IPEC Avanti 472 Single Head Polisher using a two-step polishing process and a touch up at the final platen. The first polishing step is the Cu removal with a high selectivity to Ta. The second polishing step removes the Ta with a high selectivity to Cu and less selectivity to the oxide to achieve a good degree of planarisation. The characterisation of copper films was done by the determination of sheet resistance with a four-point probe method, film thickness with an alphastep profilometer, SEM, FIB, TEM, as well as by AFM and AES at selected samples. X cut tape tests with defined adhesion strength (10 N/25 mm) were performed to assess the adhesion. 3. Results The MOCVD and PVD seed layer properties are summarised in Table 1. 3.1. CVD Cu seed layer The copper MOCVD seed layer was fabricated according to a three-step method. At first an Ar Table 1 Comparison of different seed layers and stack properties MOCVD seed layer Long distance PVD seed layer Seed layer properties: Thickness (nominal) 50 60 nm 100 nm Step coverage Cu seed 75% 30% Resistivity 4 6 mv cm 2.9 mv cm Surface roughness (R a by AFM) 5.5% of film thickness 2.6% of film thickness Texture No Strong (111) Adhesion (tape test) Pass after annealing Pass as-deposited Metal stack properties: Step coverage electroplating 100%, no voids 100%, no voids Resistivity 2.2 mv cm 2.0 mv cm Grain size Small Large Adhesion (tape test), as-depositied: Do not pass Pass Adhesion (tape test), after annealing: Do not pass Pass

K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 435 plasma pre-treatment (100 W, 120 s) was applied to ensure high nuclei density and very thin coherent seed layers, respectively [2]. Then the MOCVD at 1508C, 20 Torr, 650 mg/min precursor flow followed. Copper seed layers with a thickness of 37 nm and a uniformity of, 5% (1s of sheet resistance) could be obtained by this method. But the adhesion of these CVD Cu seed layers is poor. Complete delamination occurred due to the tape test. This effect was noticed on TiN as well as on Ta as barrier material. An improvement of the adhesion could be obtained by a post-annealing at 3508C for 5 min being the third step. After annealing the copper films adhere excellently to the barrier layer. No copper was removable by the tape test. The MOCVD seed layer as well as the interface between seed and barrier layer was investigated with respect to the annealing effects. AES depth profiles (Fig. 1) revealed the elements carbon and fluorine at this interface, which are assumed to originate from the precursor mixture. They were detected before and after annealing. A change in the amounts of carbon and fluorine at the interface Cu/TiN could not be detected. The small differences may base on lateral variations across the wafer. These findings correspond with TEM analysis (see Fig. 2). A 2 6 nm thin continuous layer between copper and barrier was detected by cross-section TEM. It still exists after annealing. However, the impurity level does not correlate with the noticed adhesion effects. Furthermore texture of the seed layer on Ta was investigated by XRD. The measurements indicate no pronounced texture of the annealed Cu, which can be expressed by the peak intensity ratio I(200)/I(111). It is 0.46 for randomly oriented powdered Cu samples. This factor reduces from 0.58 for as-deposited copper to 0.35 for annealed copper. A slight peak displacement relative to the theoretical position towards a higher angle was noticed. This displacement increases due to annealing and indicates stress within the copper. A cross-section of a MOCVD Cu seed layer on Ta in a trench is shown in Fig. 3. A good step coverage of 75% was achieved. This metal stack was used for the Cu EP investigations. Fig. 1. AES depth profiles of the layer stack Cu/TiN/SiO : (a) as-deposited; (b) after annealing (3508C, 10 min, vacuum, in 2 situ).

436 K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 Fig. 2. Cross-section TEM reveals a thin interlayer between copper and plasma pre-treated barrier layer (a) before and (b) after annealing (3508C, 10 min, vacuum, in situ). 3.2. PVD Cu seed layer A 100 nm thick PVD Cu seed layer deposited in a high aspect ratio trench (AR 5 4) is depicted in Fig. 4. Higher nominal film thicknesses are needed due to the low step coverage of the PVD seed layer. The surface roughness assessed by AFM was lower compared to MOCVD Cu. The half mean roughness value was determined with 2.6% of the film thickness, indicating a very smooth surface. A further difference between PVD and CVD copper seed layer concerns textures. Whereas for the CVD copper after annealing a random orientation of the Cu grains was noticed, the PVD copper has a strong (111) texture as-deposited. No peaks beside the (111) were detected. The adhesion behaviour Fig. 3. CVD copper seed layer in a trench (AR 5 4, annealed at 3508C, 10 min).

K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 437 Fig. 4. As-deposited PVD copper seed layer in a trench (AR 5 4, PVD at 508C). of PVD copper is excellent. It passes the tape test at the as-deposited stage. A remarkably lower specific electrical resistivity was determined for PVD copper than for MOCVD copper due to the different film thickness and surface roughness. 3.3. Cu EP fill The trench fill by electroplating is very good, no voids could be found for either type of seed layer. But different seed layer properties induce different electroplating fill process attributes (Figs. 5 and 6). For PVD seed layer processing an epitaxial growth of the electroplated film on the seed layer is detectable, while for the MOCVD process flow a boundary formation between the seed layer and the electroplated film occurs. A slightly lower electrical resistivity was measured for EP Cu on a PVD seed, and the cross-section of this copper film reveals a bigger grain size than that of EP Cu on MOCVD seed. The adhesion behaviour of the Cu films after electroplating is completely different for the two types of seed layers. After the annealing before CMP PVD/EP Cu films passed the tape test but MOCVD/EP Cu films did totally fail (delamination at the CVD Cu/Ta interface). The same result was noticed for as-deposited MOCVD/ EP copper. Due to this matter no CMP processing was possible on this metal stack. A further optimisation of the MOCVD Cu deposition with respect to adhesion is required. Results of electrical measurements on non-passivated double track meander (DTM) structures with

438 K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 Fig. 5. Copper EP fill on MOCVD Cu seed layer (tilted FIB image). 0.4 mm line width and 0.4/3.6 mm spacings are shown in Figs. 7 and 8 (metal stack: Ta barrier/pvd Cu seed/ep Cu). A high yield (about 98%) of the DTM structures was achieved. The within-wafer and wafer-to-wafer variation of the line resistance values are below 10% (min max). The goal value Fig. 6. Copper EP fill on PVD Cu seed layer (tilted FIB image).

K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 439 Fig. 7. Line resistance of DTM structures with 0.4 mm width (Ta/LTS Cu/EP Cu). of 29 V for the line resistance, which was calculated from the trench geometry and the resistivity of the blanket barrier and Cu films, was very well achieved. This can be attributed to good control of the CMP as well as to no degradation of the Cu resistivity in the trenches during processing. The leakage current between the unpassivated Cu meander structures is comparable to a standard passivated AlCu metallisation. Fig. 8. Leakage current at DTM structures with 0.4 mm width and 0.4/3.6 mm spacings (Ta/LTS Cu/EP Cu).

440 K. Weiss et al. / Microelectronic Engineering 50 (2000) 433 440 4. Conclusion A comparison of MOCVD and PVD copper seed layers revealed different properties. For the same side wall thickness PVD seed layers have to be two times thicker than MOCVD seed layers because of the lower step coverage. Due to this matter and a smoother surface the electrical resistivity measured at blanket films is lower. Whereas the MOCVD copper shows no pronounced texture the PVD copper has a strong (111) texture. Both types of seed layers did pass the adhesion tape test on Ta, but the MOCVD Cu had to be annealed to achieve good adhesion. The EP copper films have no voids for both types of seed layers. Due to bigger grain sizes the EP/ PVD copper has a slightly lower electrical resistivity of 2.0 mv cm. The adhesion behaviour was found to be completely different for the two types of seed layers. Only PVD/EP copper films passed the tape test. Therefore, only the Ta/PVD Cu/EP Cu metal stack could be polished and electrically measured. Electrical data show excellent yield, line resistance control, excellent leakage current between unpassivated Cu lines and a tight distribution of the measured values. Acknowledgements The authors are indebted to S. Collard (TU Chemnitz, Department of Physics) for XRD measurements and to the Semiconductor Failure Analyses Group for physical analyses. This work was financially supported by European Commission EP no. 25220. The authors are responsible for content. References [1] R.L. Jackson, E. Broadbent, T. Cacouris, A. Harrus, M. Biberger, E. Patton, T. Walsh, Solid State Technol. 41 (3) (1998) 49 59. [2] K. Weiss, S. Riedel, S.E. Schulz, T. Gessner, Advanced Metallization Conference in 1998, Colorado Springs, CO, MRS Symp. Proc. 1999 (in press).