How To Write A Flowchart

Similar documents
Process Flow in Cross Sections

Microelectronic Device Instructional Laboratory. Table of Contents

Chapter 3 CMOS processing technology

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

MEMS Surface Fabrication

Czochralski Crystal Growth

Microelectronics. Integrated circuits. Introduction to the IC technology M.Rencz 11 September, Expected decrease in line width

Chapter 3 Silicon Device Fabrication Technology

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Chapter 2 Manufacturing Process

Fabrication Process. Crystal Growth Doping Deposition Patterning Lithography Oxidation Ion Implementation CONCORDIA VLSI DESIGN LAB

EECS130 Integrated Circuit Devices

INTEGRATED-CIRCUIT TECHNOLOGY

The Physical Structure (NMOS)

ECE 440 Lecture 27 : Equilibrium P-N Junctions I Class Outline:

Fabrication Technology

Semiconductor Device Fabrication

3.155J / 6.152J MICROELECTRONICS PROCESSING TECHNOLOGY TAKE-HOME QUIZ FALL TERM 2003

Lab #2 Wafer Cleaning (RCA cleaning)

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Lecture #18 Fabrication OUTLINE

Surface micromachining and Process flow part 1

CMOS Technology. Flow varies with process types & company. Start with substrate selection. N-Well CMOS Twin-Well CMOS STI

EE 330 Lecture 9. IC Fabrication Technology Part 2

Total Points = 110 possible (graded out of 100)

Complexity of IC Metallization. Early 21 st Century IC Technology

Lect. 2: Basics of Si Technology

Report 1. B. Starting Wafer Specs Number: 10 Total, 6 Device and 4 Test wafers

This Appendix discusses the main IC fabrication processes.

Microfabrication of Integrated Circuits

UT Austin, ECE Department VLSI Design 2. CMOS Fabrication, Layout Rules

Lecture 0: Introduction

EE 5344 Introduction to MEMS. CHAPTER 3 Conventional Si Processing

CyMOS process Spring 2016 Iowa State University

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Bulk MEMS Fabrication Details Dr. Lynn Fuller, Casey Gonta, Patsy Cadareanu

CMOS Manufacturing process. Design rule set

Fabrication and Layout

FABRICATION of MOSFETs

EE 330 Lecture 8. IC Fabrication Technology Part II. - Masking - Photolithography - Deposition - Etching - Diffusion

Lecture 1A: Manufacturing& Layout

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

CS/ECE 5710/6710. N-type Transistor. N-type from the top. Diffusion Mask. Polysilicon Mask. CMOS Processing

CMOS Fabrication. Dr. Bassam Jamil. Adopted from slides of the textbook

ELEC 3908, Physical Electronics, Lecture 4. Basic Integrated Circuit Processing

VLSI INTRODUCTION P.VIDYA SAGAR ( ASSOCIATE PROFESSOR) Department of Electronics and Communication Engineering, VBIT

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

FABRICATION OF CMOS INTEGRATED CIRCUITS. Dr. Mohammed M. Farag

Review of CMOS Processing Technology

EE 432/532 CyMOS process PWELL Lithography & Diffusion Feb 24, 2016

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Department of Electrical Engineering. Jungli, Taiwan

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Photolithography I ( Part 2 )

EE432/532 CYMOS PROCESS PWELL LITHOGRAPHY AND DIFFUSION

EE 143 FINAL EXAM NAME C. Nguyen May 10, Signature:

EE 330 Lecture 9. IC Fabrication Technology Part II. -Oxidation -Epitaxy -Polysilicon -Planarization -Resistance and Capacitance in Interconnects

EE 143 CMOS Process Flow

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Photolithography Process Technology

DEPARTMENT OF MECHANICAL SCIENCE AND ENGINEERING UNIVERSITY OF ILLINOIS. ME498 PV Class. Laboratory Manual on Fundamentals of Solar Cell Manufacturing

CHAPTER - 4 CMOS PROCESSING TECHNOLOGY

4. Thermal Oxidation. a) Equipment Atmospheric Furnace

Chapter 2 MOS Fabrication Technology

Nanoelectronics Fabrication Facility

Introduction to CMOS VLSI Design. Layout, Fabrication, and Elementary Logic Design

CMOS VLSI Design. Introduction. All materials are from the textbook Weste and Harris, 3 rd Edition CMOS VLSI DESIGN. Introduction

EE 330 Lecture 8. IC Fabrication Technology Part II. - Oxidation - Epitaxy - Polysilicon - Interconnects

Temperature Scales. Questions. Temperature Conversions 7/21/2010. EE580 Solar Cells Todd J. Kaiser. Thermally Activated Processes

Mostafa Soliman, Ph.D. May 5 th 2014

Lecture 22: Integrated circuit fabrication

EE CMOS TECHNOLOGY- Chapter 2 in the Text

EE 560 FABRICATION OF MOS CIRCUITS. Kenneth R. Laker, University of Pennsylvania

Report 2. Aaron Pederson EE 432 Lab Dr. Meng Lu netid: abp250 Lab instructor: Yunfei Zhao. Steps:

Lecture 2: CMOS Fabrication Mark McDermott Electrical and Computer Engineering The University of Texas at Austin

VLSI Design and Simulation

Fabrication and Layout

VLSI. Lecture 1. Jaeyong Chung System-on-Chips (SoC) Laboratory Incheon National University. Based on slides of David Money Harris

9/4/2008 GMU, ECE 680 Physical VLSI Design

Complementary Metal-Oxide-Semiconductor Very Large-Scale Integrated Circuit Design

6.777J/2.732J Design and Fabrication of Microelectromechanical Devices Spring Term Solution to Problem Set 2 (16 pts)

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

EE 432 CyMOS process PWELL Photolithography and Diffusion Feb. 23th 2017

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Manufacturing Process

5.8 Diaphragm Uniaxial Optical Accelerometer

Microstructure of Electronic Materials. Amorphous materials. Single-Crystal Material. Professor N Cheung, U.C. Berkeley

Most semiconductor devices contain at least one junction between p-type and n-type material. These p-n junctions are fundamental to the performance

micro resist technology

Surface MEMS Fabrication Details Dr. Lynn Fuller, Adam Wardas, Casey Gonta, Patsy Cadareanu

Make sure the exam paper has 9 pages total (including cover page)

Physical Vapor Deposition (PVD) Zheng Yang

IC/MEMS Fabrication - Outline. Fabrication

CMOS FABRICATION. n WELL PROCESS

EE 527 MICROFABRICATION. Lecture 15 Tai-Chang Chen University of Washington EE-527 M4 MASK SET: NPN BJT. C (sub) A E = 40 µm x 40 µm

Transcription:

1

Learning Objectives To learn how you transfer a device concept into a process flow to fabricate the device in the EKL labs You learn the different components that makes up a flowchart; process blocks, process modules and process steps you learn to match a concept process flow to process blocks, modules and steps 2

How to write a flowchart 1. Introduction 2. Flowchart elements: process blocks, modules and steps 3. Making a flowchart 4

How to write a flowchart 1. Introduction 2. Flowchart elements: process blocks, modules and steps 3. Making a flowchart 5

Flowcharts: An industrial approach to specify a production process Cement Manufacturing Process Simplified Flow Chart Source: http://civildigital.com/cement-manufacturing-process-simplified-flow-chart/ 6

How can we turn a piece of semiconductor material into an integrated circuit? Interconnect Components of an integrated circuit Transistors Conductor Isolator Doped silicon to form p-n junctions Silicon substrate Semiconductor 7

How can we turn a piece of silicon into an integrated circuit? Components of an integrated circuit 3 2 1. Silicon with p-n junctions 2. Silicon dioxide as isolator 3. Aluminium as conductor 1 All components of an circuit integrated in a small area 8

How can we turn a piece of silicon into an integrated circuit? 1. 2. 3. 4. 1. Start wit a pure semiconductor. 2. Add different kind of atoms through the SURFACE into the semiconductor to change the electronic properties in specific PATTERNS. 3. Isolate the SURFACE of the semiconductor with a insulating THIN FILM. 4. Apply a THIN FILM of metal on the SURFACE, PATTERN this film to connect the different areas of the semiconductor. 9

How can we turn a piece of silicon into an integrated circuit? 10

IC fabrication characteristics; 1 - planar technology Thin film deposition Oxidation of silicon SURFACE Si + O 2 -> SiO 2 Deposition Electro plating SURFACE reactions from the gas phase LPCVD, PECVD or sputtering (PVD) Electro chemical deposition on the SURFACE Doping Ion implantation Ions implanted via the SURFACE Diffusion Dopant diffusion via the SURFACE Lithography Coat photoresist Apply a thin photosensitive film on the SURFACE Align and Expose Develop Align on markers on the SURFACE and expose the SURFACE Dissolve exposed photoresist from the SURFACE Etch wet Dissolve reaction products from the SURFACE dry Evaporate reaction products from the SURFACE 11

IC fabrication characteristics; 1 - planar technology Device area Production area 450 mm 300 mm Small devices on a large production area. 250 µm The planar surface of a silicon wafer is processed in a sequence of process steps that result in a large number of devices on the wafer surface. 100 mm 200 mm 12

IC fabrication characteristics; 1 - planar technology Device area Production area 13

IC fabrication characteristics; 2 parallel processing Device area Production area 14

IC manufacturing: a precisely defined sequence of process modules Production area Process module #1 Process module #2 Process module #3 Process module #4 Process module #5 The set of process modules is called a flowchart: Compare it with a cookbook 15

Process flow How to cook an integrated circuit Flowchart STARTING MATERIAL... 4 1. COATING AND BAKING wafer 1-2... 4 2. ALIGNMENT AND EXPOSURE: Alignment makers wafer 1-2... 4 3. WRITE WAFER ID wafer 1-2... 4 4. DEVELOPMENT wafer 1-2... 4 5. INSPECTION: LINEWIDTH AND OVERLAY wafer 1-2... 4 6. DRY ETCHING: URK_NPD wafer 1-2... 5 7. RHOTORESIST REMOVAL: Tepla wafer 1-2... 5 8. CLEANING PROCEDURE: HNO3 100% and 65% (Si) wafer 1-2... 5 9. DRY OXIDATION: DIRT BARRIER wafer 1-2... 5 10. MEASUREMENT: OXIDE THICKNESS wafer 1-2... 5 11. COATING AND BAKING wafer 1-2... 5 12. ALIGNMENT AND EXPOSURE-NW wafer 1-2... 6 13. DEVELOPMENT wafer 1-2... 6 14. INSPECTION: LINEWIDTH AND OVERLAY wafer 1-2... 6 15. Phosporus IMPLANTATION: N-WELL wafer 1... 6 16. Phosporus IMPLANTATION: N-WELL wafer 2... 6 17. CLEANING PROCEDURE: Tepla + HNO3 100% and 65% (Si) wafer 1-2... 6 18. ANNEALING/NW-WELL DRIVE IN wafer 1-2... 6 19. MEASUREMENT: OXIDE THICKNES wafer 1-2... 7 20. OXIDE STRIPPING wafer 1-2... 7 21. CLEANING PROCEDURE: HNO3 100% and 65% (Si) wafer 1-2... 7 22. DRY OXIDATION: DIRT BARRIER wafer 1-2... 7 23. MEASUREMENT: OXIDE THICKNESS wafer 1-2... 8 24. COATING AND BAKING wafer 1-2... 8 25. ALIGNMENT AND EXPOSURE-SN wafer 1-2... 8 26. DEVELOPMENT wafer 1-2... 8 27. INSPECTION: LINEWIDTH AND OVERLAY wafer 1-2... 8 28. ARSENIC IMPLANTATION: NPN-EMITTER AND N-MOS SOURCE/DRAIN wafer 1-2... 8 29. CLEANING PROCEDURE: Tepla + HNO3 100% and 65% (Si) wafer 1-2... 9 30. COATING AND BAKING wafer 1-2... 9 31. ALIGNMENT AND EXPOSURE-SP wafer 1-2... 9 32. DEVELOPMENT wafer 1-2... 9 33. INSPECTION: LINEWIDTH AND OVERLAY wafer 1-2... 9 34. BORON IMPLANTATION: INTRINSIC BASE AND PMOS SOURCE/DRAIN EXTENSIONS wafer 1-2... 9 35. CLEANING PROCEDURE: Tepla + HNO3 100% and 65% (Si) wafer 1-2... 9 36. COATING AND BAKING wafer 1-2... 10 37. ALIGNMENT AND EXPOSURE wafer 1-2... 10 38. DEVELOPMENT wafer 1-2... 10 39. BORON IMPLANTATION: Vt ADJUST_BOTTOM HALF wafer 1-2... 10 40. CLEANING PROCEDURE: Tepla + HNO3 100% and 65% (Si) wafer 1-2... 10 41. COATING AND BAKING wafer 1-2... 10 42. ALIGNMENT AND EXPOSURE wafer 1-2... 10 43. DEVELOPMENT wafer 1-2... 10 44. BORON IMPLANTATION: Vt ADJUST_RIGHT HALF wafer 1-2... 11 45. CLEANING PROCEDURE: Tepla + HNO3 100% and 65% (Si) wafer 1-2... 11 46. ANNEAL / OXIDATION wafer 1-2... 11 47. MEASUREMENT: OXIDE THICKNESS wafer 1-2... 11 48. COATING AND BACKING wafer 2... 11 49. ALIGNMENT AND EXPOSURE - Oxide thickness adjust wafer 2... 11 50. DEVELOPMENT wafer 2... 12 51. INSPECTION: LINEWIDTH AND OVERLAY wafer 2... 12 52. WET WTCHING SiO2 wafer 2... 12 53. CLEANING PROCEDURE: Acetone + HNO3 100%(Si) wafer 2... 12 54. COATING AND BAKING wafer 1-2... 12 55. ALIGNMENT AND EXPOSURE-CO wafer 1-2... 12 56. DEVELOPMENT wafer 1-2... 12 57. INSPECTION: LINEWIDTH AND OVERLAY wafer 1-2... 13 58. WINDOW ETCHING wafer 1-2... 13 59. CLEANING PROCEDURE: Acetone + HNO3 100% and HNO3 65% (Si) wafer 1-2... 13 60. MARANGONI wafer 1-2... 13 61. FIRST METALLIZATION: SPUTTERING OF Al/Si wafer 1-2... 13 62. COATING AND BAKING wafer 1-2... 14 63. ALIGNMENT AND EXPOSURE-IC wafer 1-2... 14 64. DEVELOPMENT wafer 1-2... 14 65. INSPECTION: LINEWIDTH AND OVERLAY wafer 1-2... 14 66. WET ALUMINIUM ETCHING: 1 ST METAL wafer 1... 14 67. POLY SILICON DIP ETCHING wafer 1... 14 68. PLASMA ETCH ALUMINIUM: 1 st METAL wafer 2... 15 69. CLEANING PROCEDURE (after dry etching): Tepla wafer 2... 15 70. CLEANING PROCEDURE (after wet etching): Acetone wafer 1... 15 71. CLEANING PROCEDURE: HNO3 100% metal wafer 1-2... 15 72. ALLOYING wafer 1-2... 15 73. ELECTRICAL CHARACTERIZATION wafer 1-2... 15 16

How to write a flowchart 1. Introduction 2. Flowchart elements: process blocks, modules and steps 3. Making a flowchart 17

Flowchart Hierarchy: Process blocks, modules and steps Definition process flowchart: A process flowchart is a connected sequence of process blocks, process modules and process steps to fabricate a device Definition process block: A process block is a connected sequence of process procedures and process steps which helps you to get the desired process target Definition process Module: A process procedure is a connected sequence of process steps to prepare the substrate(s) for the next process procedure or process step, or to terminate the process flow. Definition process step: A process step is a connected sequence of physical and/or chemical reactions used by a process tool to pattern, deposit, modify or remove materials 18

Flowchart Hierarchy: Process blocks, modules and steps Device level Device component level Tool level Physical / chemical process level 19

IC process flowcharts: example of a process block Flowchart Process block Process module Process steps Example: Process block NW 8. CLEANING : HNO3 100% and 65% (Si) 9. DRY OXIDATION: DIRT BARRIER 10. MEASUREMENT: OXIDE THICKNESS 11. COATING AND BAKING 12. ALIGNMENT AND EXPOSURE-NW 13. DEVELOPMENT 14. INSPECTION: LINEWIDTH AND OVERLAY 15. Phosporus IMPLANTATION: N-WELL 16. CLEANING : Tepla + HNO3 100% and 65% (Si) 17. ANNEALING/NW-WELL DRIVE IN 18. MEASUREMENT: OXIDE THICKNES 19. OXIDE STRIPPING 20

IC process flowcharts: example of a process block Flowchart Process block Process module Process steps Example: Process block NW 8. CLEANING : HNO3 100% and 65% (Si) 9. DRY OXIDATION: DIRT BARRIER 10. MEASUREMENT: OXIDE THICKNESS 11. COATING AND BAKING 12. ALIGNMENT AND EXPOSURE-NW 13. DEVELOPMENT 14. INSPECTION: LINEWIDTH AND OVERLAY 15. Phosporus IMPLANTATION: N-WELL 16. CLEANING : Tepla + HNO3 100% and 65% (Si) 17. ANNEALING/NW-WELL DRIVE IN 18. MEASUREMENT: OXIDE THICKNES 19. OXIDE STRIPPING 21

IC process flowcharts: example of a process module Flowchart Process block Process module Process steps Example: Process module: cleaning 16. CLEANING MODULE: Tepla + HNO3 100% and 65% (Si) Plasma strip Use the Tepla plasma system to remove the photoresist in an oxygen plasma. Follow the instructions specified for the Tepla stripper, and use the quartz carrier. Use program 4: 1000 watts power 15 minutes etching. Cleaning 10 minutes in fuming nitric acid (Merck: HNO3 100% selectipur)at ambient temperature. Use wet bench "HNO3 (100%)" and the carrier with the red dot. QDR Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MΩ. Cleaning 10 minutes in concentrated nitric acid (Merck: HNO3 65% selectipur) at 110 C. Use wet bench HNO3 (65%) and the carrier with the red dot. QDR Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MΩ. Drying Use the uprocess Avenger with the standard program, and the white carrier with a red dot. 22

IC process flowcharts: example of a process step Flowchart Process block Process module Process step Example: Process step: cleaning Cleaning 10 minutes in concentrated nitric acid (Merck: HNO3 65% selectipur) at 110 C. Use wet bench HNO3 (65%) and the carrier with the red dot. 23

IC process flowcharts: example of a process step Flowchart Process block Process module Process step Example: Process step: annealing/nw-well drive in Furnace no: A1 Program name: OA002 Total time: 415 min Program no: N5 PROCESS TEMPERATURE (in C) GASSES & FLOWS (in liter/min) TIME (in minutes) boat in 600 nitrogen: 3.0 5 REMARKS stabilize 600 nitrogen: 3.0 10 heat up +10 C/min nitrogen: 3.0 55 oxygen: 0.3 stabilize 1150 nitrogen: 3.0 10 oxygen: 0.3 drive-in 1150 nitrogen: 3.0 240 oxygen: 0.3 cool down -5 C/min nitrogen: 3.0 90 wait for operator boat out 600 nitrogen: 3.0 5 24

Process flow mapped on process equipment in the lab 5 patterning processes 73 process steps grouped into 8 process modules Cleaning, patterning, deposition, etching, inspection,.. 25

How to write a flowchart 1. Introduction 2. Flowchart elements: process blocks, modules and steps 3. Making a flowchart 26

Example: fabricate a metal thin film resistor on a silicon wafer Basic equation of a thin film resistor: RR = ρρ ttt LL WW Where: ρ = the resistivity of the conductive material th = film thickness L = length of the resistor W = width of the resistor What do we need? Electrical isolation of the surface On top of that: a thin conductive film (ρ, th) Pattern the thin film into a geometry (L, W) to obtain the right value for R 27

Example: fabricate a metal thin film resistor on a silicon wafer Basic equation of a thin film resistor: RR = ρρ ttt LL WW Design parameters Process parameters Where: ρ = the resistivity of the conductive material th = film thickness L = length of the resistor W = width of the resistor ρρ ttt Material properties Process tool settings Flowchart components The final result of a process = R Which depends on design and processing 28

Draft a layout Boundary conditions: RR = ρρ ttt LL WW = 100 Ω Max. footprint = 200 x 500 µm Two bondpads of 80x80 µm each (neglect the bondwire/bondpad resistance) CMOS compatible thin film resistor: ->material of choice is AlSi(1%) Estimated minimum reliable thickness for wirebonding is 200 nm Minimum line width is 5 µm LL = RR WW ttt ρρ = 100 5 10 4 0.2 10 4 2.7 10 6 [cm] -> L = 0.38 cm 29

Visualise the process flow in cross sections Note that cartoon are applied to emphasize specific characteristics, therefore cross sections are usually NOT drawn to scale Dhr. Rutte, prime minister of the Netherlands 30

Visualise the process flow in cross sections Start material, specify the required substrate Thermal oxidation of silicon Deposit AlSi(1%) by sputtering Photolithography: coat resist, expose and develop Etch the AlSi(1%) Remove the photoresist 31

Translate the process flow in cross sections to process modules Process overview 1 STARTING MATERIAL 2 THERMAL OXIDATION 3 METALLIZATION: 200 nm Al (with 1% Si) @ 350 C 4 COATING 5 ALIGNMENT AND EXPOSURE 6 DEVELOPING 7 PLASMA ETCHING: 200 nm Aluminium (sputtered at 350 C) 8 LAYER STRIPPING: Photoresist 32

Feedback from your supervisor/mentor Process overview 1 STARTING MATERIAL 2 THERMAL OXIDATION 3 METALLIZATION: 200 nm Al (with 1% Si) @ 350 C 4 COATING 5 ALIGNMENT AND EXPOSURE 6 DEVELOPING 7 PLASMA ETCHING: 200 nm Aluminium (sputtered at 350 C) 8 LAYER STRIPPING: Photoresist Extra process blocks added, based on experience and way of working,. Process overview 1 STARTING MATERIAL 2 CLEANING: HNO3 99% and 69.5% 3 THERMAL OXIDATION 4 MEASUREMENT: Oxide thickness 5 METALLIZATION: 200 nm Al (with 1% Si) @ 350 C 6 COATING 7 ALIGNMENT AND EXPOSURE 8 DEVELOPING 9 INSPECTION: Linewidth and overlay 10 PLASMA ETCHING: 200 nm Aluminium (sputtered at 350 C) 11 ALUMINIUM FENCE REMOVAL 12 LAYER STRIPPING: Photoresist 33

From process modules to flowchart Each process block represents a sequence of process modules and process steps Process module Process overview 1 STARTING MATERIAL 2 CLEANING: HNO3 99% and 69.5% 3 THERMAL OXIDATION 2 CLEANING: HNO3 99% and 69.5% Process step 4 MEASUREMENT: Oxide thickness 5 METALLIZATION: 200 nm Al (with 1% Si) @ 350 Clean C 10 minutes in fuming nitric acid at ambient temperature. This will dissolve 6 COATING organic materials. 7 ALIGNMENT AND EXPOSURE Use wet bench "HNO3 99% (Si)" and the carrier with the red dot. 8 DEVELOPING Rinse Rinse in the Quick Dump Rinser with the standard program until the 9 INSPECTION: Linewidth and overlay resistivity is 5 MW. 10 PLASMA ETCHING: 200 nm Aluminium (sputtered Clean at 35010 C) minutes in concentrated nitric acid at 110 C. This will dissolve metal 11 ALUMINIUM FENCE REMOVAL particles. 12 LAYER STRIPPING: Photoresist Use wet bench HNO3 69.5 5% 110C and the carrier with the red dot. Rinse Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MW. Dry Use the Avenger Ultra pure-6 "rinser/dryer" with the standard program, and the white carrier with a red dot. Note The next step must be performed immediately after drying. 34

Flowchart peer review The whole flowchart is reviewed with your supervisor/mentor, special attention is given to avoid cross contamination 1. STARTING MATERIAL Use SINGLE SIDE polished LOW RESISTIVITY (LRES) wafers, with the following specifications: Type: p/b (p-type, boron) Orientation: <100> Resistivity: 2-5 Ωcm Thickness: 525 ± 15 µm Diameter: 100 mm 2. CLEANING: HNO3 99% and 69.5% Clean Rinse Clean Rinse Dry Note 10 minutes in fuming nitric acid at ambient temperature. This will dissolve organic materials. Use wet bench "HNO3 99% (Si)" and the carrier with the red dot. Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MW. 10 minutes in concentrated nitric acid at 110 C. This will dissolve metal particles. Use wet bench HNO3 69.5 5% 110C and the carrier with the red dot. Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MW. Use the Avenger Ultra pure-6 "rinser/dryer" with the standard program, and the white carrier with a red dot. The next step must be performed immediately after drying. 3. MARKER OXIDATION Furnace tube: C1 or D1 Program name: MARKOXB Total time: 198.5 min PROCESS TEMPERATURE GASSES & FLOWS TIME REMARKS (in C) (in liter/min) (in minutes) boat in 800 nitrogen: 3.0 5 oxygen: 0.3 stabilize 800 nitrogen: 3.0 10 oxygen: 0.3 heat up +10 C/min nitrogen: 3.0 30 oxygen: 0.3 stabilize 1100 nitrogen: 3.0 10 oxygen: 0.3 oxidation 1100 oxygen: 2.25 38.5 hydrogen: 3.85 cool down -5 C/min nitrogen: 3.0 100 wait for operator boat out 600 nitrogen: 3.0 5 Note: The total process time can reduced in the "cool down" step: the be slightly "boat out" step can be started after ± 60 minutes, when the actual temperature of the furnace will be about 800 C. 4. MEASUREMENT: Oxide thickness Use the Leitz MPV-SP measurement system for layer thickness measurements. Follow the operating instructions from the manual when using this equipment. Program: Th. SiO2 on Si, >50nm auto5pts Oxide thickness: 500-530 nm 750-770 nm 5. METALLIZATION: 200 nm Al (with 1% Si) @ 300 C Use the TRIKON SIGMA 204 sputter coater for the deposition of an aluminium metal layer on the wafers. The target must exist of 99% Al and 1% Si, and deposition must be done at 300 C with an Ar flow of 100 sccm. Follow the operating instructions from the manual when using this machine. Use recipe AlSi_200nm_300C to obtain a 200 nm thick layer. Visual inspection: the metal layer must look shiny. 6. CLEANING: HNO3 99% metal Clean Rinse Dry 10 minutes in fuming nitric acid at ambient temperature. This will dissolve organic materials. Use wet bench "HNO3 99% (metal)" and the carrier with a red and yellow dot. Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MW. Use the Avenger Ultra pure-6 "rinser/dryer" with the standard program, and the white carrier with a black dot. Note Do not perform a "HNO3 69,5% 110C (Si)" cleaning step! 7. COATING Use the coater station of the EVG120 system to coat the wafers with photoresist. The process consists of: a treatment with HMDS (hexamethyldisilazane) vapor, with nitrogen as a carrier gas spin coating of Shipley SPR3012 positive resist, dispensed by a pump. The approximate spinspeed is 3450 rpm. a Soft Bake (SB) at 95 C for 90 seconds an automatic Edge Bead Removal (EBR) with a solvent Always check the relative humidity (48 ± 2 %) in the room before coating, and follow the instructions for this equipment. Use program "1-Co - 3012-1,4µm". 8. ALIGNMENT AND EXPOSURE Processing will be performed on the ASML PAS5500/80 automatic wafer stepper. Follow the operating instructions from the manual when using this machine. Expose mask, with the correct litho job and exposure energy (check the energy list). 9. DEVELOPING Use the developer station of the EVG120 system to develop the wafers. The process consists of: a post-exposure bake at 115 C for 90 seconds developing with Shipley MF322 with a single puddle process a hard bake at 100 C for 90 seconds Always follow the instructions for this equipment. Use program "Dev - SP". 10. INSPECTION: Linewidth and overlay Visually inspect the wafers through a microscope, and check the line width and overlay. No resist residues are allowed. 11. PLASMA ETCHING: 675 nm Aluminium (sputtered at 350 C) Use the Trikon Wmega 201 plasma etcher. Follow the operating instructions from the manual when using this machine. It is not allowed to change the process conditions and times from the etch recipe! Use sequence AL06_350 (with a platen temperature of 25 ºC) to etch the aluminium layer. Process conditions from chamber recipe AL06_350: (use for 0.6-1.0 µm Al sputtered at 350 C) Step Gasses & flows Pressure Platen RF ICP RF Platen temp. Etch time 1. breakthrough HBr/Cl2 = 40/30 sccm 5 mtorr 50 W 500 W 25 C endpoint 2. bulk etch HBr/Cl2 = 40/30 sccm 5 mtorr 40 W 500 W 25 C endpoint 3. overetch HBr/Cl2 = 30/15 sccm 5 mtorr 40 W 500 W 25 C 60% of bulk INSPECTION: No aluminium residues allowed. or undercut are Note: Always perform an "ALUMINIUM FENCE REMOVAL" step after dry etching of aluminium! 12. ALUMINIUM FENCE REMOVAL Plasma strip Moisten Etching Etch time QDR Drying Use the Tepla plasma system to remove the photoresist in an oxygen plasma. Follow the instructions specified for the Tepla stripper, and use the quartz carrier. Use program 1: 1000 watts power and automatic endpoint detection + 2 min. overetching. Rinse for 1 minute in wet bench "H2O/Triton X-100 tbv Al. Ets". Use the carrier with the yellow dot. The bath contains 1 ml Triton X-100 per 5000 ml deionized water. Use wet bench "Al. ets 35 C", and the carrier with the yellow dot. 1 liter buffered aluminium etch fluid contains: 770 ml concentrated phosphorus acid (H3PO4 85%), 19 ml concentrated nitric acid (HNO3 65%), 140 ml concentrated acetic acid (CH3COOH 100%) and 71 ml deionized water 30 seconds. 75 nm of aluminium will be lost. Rinse in the Quick Dump Rinser with the standard program until the resistivity is 5 MW. Use the Semitool "rinser/dryer" with the standard program, and the orange carrier with a black dot. 13. LAYER STRIPPING: Photoresist Strip resist Use the Tepla Plasma 300 system to remove the photoresist in an oxygen plasma. Follow the instructions specified for the Tepla stripper, and use the quartz carrier. Use program 1: 1000 watts power and automatic endpoint detection + 2 min. overetching. 35

Flowchart of how to make a flowchart Device concept Visualise the process flow in cross sections Feedback Translate the process flow in cross sections to process modules Feedback From process modules to flowchart Feedback 36 1. Ready Introduction to process Version 1.0

37