ZERO DEFECTS Entegris Newsletter

Similar documents
Microbridge reduction in negative tone imaging at photoresist pointof-use

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

CRITICAL MATERIALS HANDLING IMPACT POINT-OF-USE PHOTOCHEMICAL FILTERS

Improving material-specific dispense processes for low-defect coatings

Intercept Liquid Filters

Improving Material-Specific Dispense Processes for Low Defect Coatings

Key Technologies for Next Generation EUV Lithography

Solvent pre-wetting as an effective start-up method for point-of-use filter

Abstract. Introduction CMP FILTER CHARACTERIZATION WITH LEADING SLURRY PARTICLES. Authors: Yi-Wei Lu, Bob Shie, Steven Hsiao, H.J.

Overview. MICROgARd LIqUId FILTeRS

EUV patterning improvement toward high-volume manufacturing

THORNTON 5000TOC Sensor and 700MAX demonstrate online measurement capability

Overview MICROGARD LIQUID FILTERS

Semiconductor Components, Instruments and Subsystems (SCIS)

Impact 8G Series Photochemical Filters

Pattern Dependent Satellite Defects in Via Lithography

Abstract. Next-Generation CMP Pad Conditioning. Introduction DEVELOPMENT AND PERFORMANCE DATA OF A NEW CVD DIAMOND CMP PAD CONDITIONER

Photolithography I ( Part 2 )

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Chemical Mechanical Planarization (CMP) Slurry Manufacturing

Abstract. Introduction FILTRATION CHARACTERISTICS OF CMP SLURRIES. Author: Rakesh K. Singh, Ph.D., P.E., M.B.A.

Betapure CMP Series. CMP Filter Capsules. Superior Reduction Of Large Particle Counts For Improved Yields. Features & Benefits.

Prevention of Microbubbles During Filtration and Particle Counting of High Purity Chemicals in the Semiconductor Industry

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

CRITICAL MATERIALS HANDLING 150 MM WAFER SHIPPING AND HANDLING PRODUCTS

Production of High Purity Functional Water at Point-of-Use for Advanced Mask Cleaning Processes

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

Artisan Technology Group is your source for quality new and certified-used/pre-owned equipment

Investor Overview July 10, 2008

CLEANING TECHNOLOGY OPTIONS FOR EUV MASK LIFETIME EXTENSION

Hollow fiber membrane nano-technology: Applied to purifying the chemistries for state of the art semiconductor devices.

Photoresist and Process Issues on 193nm Immersion Lithography

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Reducing Electrostatic Discharge In Semiconductor Fluoropolymer Fluid Handling Systems While Maintaining Chemical Purity

Lessons Learned from SEMATECH s Nanoimprint Program

Photoresist & Ancillary Chemicals Manufacturing

Specifications TRANSPORT WAFER CARRIERS. Safely protect and transport 300 mm wafers

Lithography options for the 32nm half pitch node. imec

! Easy spin coat application. 1 Because Federal, State, Local and International laws may

Innovative Laser Processing Technologies

CONTAMINATION CONTROL SOLUTIONS QUICKCHANGE CARTRIDGE AND DISPOSABLE FILTERS

Process Control and Yield Management Strategies in HBLED Manufacturing

Platypus Gold Coated Substrates. Bringing Science to the Surface

INTERVIA BPP-10 Photoresist

Importance of Monitoring Slurry and Ultrapure Chemical Flow in CMP Applications

A World Class Specialty Materials Company

Filtration on block copolymer solution used in directed self assembly lithography

ZERO DEFECTS Entegris Newsletter

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Crossflow Filtration for Ink Jet Fluids

MCC. NANO PMMA and Copolymer

FluoroCouncil Presentation at SEMI s Sustainable Manufacturing Forum at Semicon West July 12, 2016

4th Annual SFR Workshop, Nov. 14, 2001

Czochralski Crystal Growth

Investor Overview November, 2008

Towards cost-effective and low defectivity DSA flows for line/space patterning

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Technical Data Sheet Technisches Datenblatt

Hybrid BARC approaches for FEOL and BEOL integration

New materials for surface energy control of 193 nm photoresists

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

Glycol Management Paper. By Niels Bogh

surface metrology. As the world s leader in precise, noncontact, 3-D surface metrology, Zygo has continually

CyBi -HummingWell. Compound Transfer Directly from Stock!

Custom Linear Motor Stages for High Precision Applications

Metal reduction at point-of-use filtration

CMP Pump Effects on Filter Life

Advanced developer-soluble gap-fill materials and applications

Metal Oxide EUV Photoresists for N7 Relevant Patterns

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

3M Purification Inc. Technical and Scientific Services Global Support for the Life Science Industry. Global Expertise delivered locally

IONPURE CONTINUOUS ELECTRODEIONIZATION (CEDI)

ESI SC-FAST Sample Introduction Solutions for PerkinElmer ICP-OES and ICP-MS Systems

Progress in EUV resist development

TECHNICAL REPORT. P r e p a r e d F o r : Indium7.16 BiAgX HT Pb-Free Printing Solder Paste

Keeping Your CMP Slurry From Being A Pain in the As-Probed Die Yield. Robert L. Rhoades (Entrepix) Brian Orzechowski and Jeff Wilmer (DivInd, LLC)

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

Quartz Glass for Optics

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

P4000 Thick Film Photoresist

Semiconductor Components, Instruments and Subsystems (SCIS)

Silica Analyzer. Automated Silica Measurement Dependable and Sensitive. 2800Si Silica Analyzer Fully automatic calibration Trace sensitivity

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

A Hands-On Guide to Ultrafiltration/Diafiltration Optimization using Pellicon Cassettes

RELIANCE HIGH THROUGHPUT AUTOSAMPLER

Simple Cubic Crystal

HIGH PERFORMANCE FILTER MEDIA HYDRAULIC AND LUBRICATING SYSTEMS

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Improve quality and enhance process integrity

DuPont MX5000 Series

Slurry Handling, Troubleshooting and Filtration. Levitronix CMPUG Symposium Budge Johl Phoenix, AZ February 17, 2005

Supplementary Information. for

Specialty Chemical Measurement Solutions

Metrology at the Nanoscale What are the Grand Challenges?

TSK-GEL BioAssist Series Ion Exchange Columns

All fabrication was performed on Si wafers with 285 nm of thermally grown oxide to

Advanced Melt Blowing Technolog y

Best Known Methods for Latent Reliability Defect Control in 90nm 14nm Semiconductor Fabs. David W. Price, Ph.D. Robert J. Rathert April, 2017

Transcription:

July 215 CONTENTS 1. Entegris News Entegris Reaches Milestones at its i2m Center for Advanced Materials Science Entegris @ SEMICON West 2. Process Stability InVue CR288 Concentration Monitor Application Note for BEOL Semiconductor Processing 4. Yield Improvement InVue Sensing and Control Products Deliver Greater Performance with Advanced Sensor Technology 5. Innovation A Comprehensive Approach for Micro and Multiple Bridge Mitigation in Immersion Photolithography 8. Product Highlight STAT-PRO 9 CNT-Enhanced PEEK Carbon Transport Carrier: Improved Carrier Performance for a Higher Yield ZERO DEFECTS Entegris Newsletter Entegris Reaches Milestones at its i2m Center for Advanced Materials Science June 18, 215 Entegris announced the first shipment of production quantities of UPE (ultra-high molecular weight polyethylene) membrane from its i2m Center for Advanced Materials Science in Bedford, Massachusetts. UPE membrane is a core material used in high-purity filtration solutions for semiconductor and life sciences applications. In the first half of 215, we reached multiple milestones in our expansion plan to provide new membrane technologies to solve the yield challenges our customers face to manufacture semiconductor devices, said Entegris Vice President of the Liquid Microcontamination Control business unit, Clint Haris. Several key customers have completed their qualification process and are now receiving i2m-based products for use in current applications, as well as for their developmental programs. We re excited to take this step forward as we continue to commercialize other UPE-based technologies in 215. The 8, sq. ft. facility opened in June 214 as a $6 million investment intended to create one of the most advanced facilities of its kind. The investment included an expansion of membrane manufacturing capacity, implementation of advanced process controls and upgraded quality monitoring systems. In addition, the i2m Center is also used to develop and manufacture gas filtration and specialty coatings products. 4For more details on the i2m Center, just click on this link. Entegris @ SEMICON West ENTEGRIS NORTH AMERICA A question about Entegris products? Call your customer service center Tel. +1 952 556 4181 Tel. 8 394 483 (toll free) Fax +1 952 556 822 Fax 8 763 582 (toll free) www.entegris.com Publisher: Entegris North America Editor: Françoise Moign Please contact francoise_moign@entegris.com for permission to reproduce Zero Defects articles Entegris recently participated at SEMICON West, an annual event for the micro- and nanoelectronics manufacturing industries held in San Francisco, CA. Introduced during the show were the Torrento X series 7 nm filtration with FlowPlane linear technology and the InVue line of process control solutions for monitoring liquid chemical processes in semiconductor manufacturing. These new solutions, along with many other key products were showcased in our display area and hospitality lounge. For the third year at SEMICON, Entegris hosted the Yield Breakfast forum. This session focused on Contamination Control in Sub-2 nm IC Production and included valuable insights from KLA-Tencor, Intel and Lam Research. These activities combined with valuable customer meetings and an unforgettable VIP reception made for a highly productive and successful event. See you next year! www.entegris.com Entegris, Inc. Zero Defects July 215 1

Process Stability InVue CR288 Concentration Monitor Application Note for BEOL Semiconductor Processing By Entegris, Inc. Semiconductor manufacturers and OEMs demand tighter process control solutions that increase wafer throughput, reduce chemical costs and prevent wafer scrap. Each requires a precise concentration monitor for back-end-of-line (BEOL) processing chemicals, such as oxide/metal etchants, post-cmp cleaners, photoresist strippers and surface preparation solutions. The right concentration monitor must exceed current technology, yet be cost effective to implement. Entegris offers InVue CR288, a highly accurate, in-line, real-time concentration monitor that meets these stringent requirements for improved BEOL process efficiency by allowing users to: Precisely monitor and control the chemical dilution and blending Increase chemical bath lifetime, which reduces chemical usage and disposal costs Detect chemical excursions, such as mechanical component failures Collect real-time data for optimizing a process or tool, such as the actual homogenization within a chemical blend The CR288 s index of refraction (IoR) technology uniquely measures concentration based on the fluid s IoR. These real-time, in-situ concentration measurements maintain a high degree of accuracy, precision and resolution. The ability to calibrate the CR288 in the field eliminates the need to have proprietary chemistry sent to the factory, and without consumable parts, little or no maintenance is necessary. The CR288 offers a wide dynamic range that is Figure 1. InVue CR288 liquid chemical concentration monitor shown with two flow cells. insensitive to bubbles and color, and guarantees an immediate return of investment. Typical Installation The illustration below shows a typical blending application. In general, the concentrated chemical is diluted using deionized water (DIW) before it is either placed directly on the wafer, or it is diverted to a holding tank where the chemistry is being recirculated in process. Case Studies 4HF Dilutions This graph compares the CR288's connectivity capability to measure concentration of HF dilutions from 1:1 to 1:1. The conductivity signal saturates at approximately 5 ppm. CR288 can measure the entire range ( 49 wt%), as well as the signal with more resolution, and has the potential for measuring dilutions lower than 1:1. HF Concentration (ppm) 6 5 4 3 2 1 Figure 3. HF dilutions. 13:16:19 13:17:2 13:17:46 13:18:29 13:19:12 13:19:55 4Photoresist Strip Dilutions The CR288 offers continuous precision while conductivity cannot correctly measure photoresist strip in solution because photoresist strip is often a non-conductive chemical. The plot in Figure 4 shows the CR288 IoR compared to a conductivity sensor output, both plotted as a function of time. The x-axis is the percentage of water added to the photoresist strip. NOTE: The CR288 measures photoresist strip correctly throughout the process range. In contrast, the conductivity sensor is completely insensitive to the changes in concentration. It is only once the water concentration reaches a sufficient level that the conductivity sensor can measure anything at all. The CR288 inherently outperforms conductivity in this critical metric. lor @ 2 C 1.458 1.456 1.454 1.452 1.45 1.448 1.446 1.444 25 ml/min; 1:1; 1:5; 1:75; 1:1; HF:DI 1:1 Index of refraction @ 2 C Conductivity 1:5 1:75 Time (hour/min/sec) CR288 Conductivity sensor 1:1 4. 3.8 3.6 3.4 3.2 3. Conductivity (ms/cm) Figure 2. Typical blending application. 1.442 2.8 2 4 6 8 1 12 14 16 18 Concentration of Added H2O (%) Figure 4. CR288 index of refraction vs. conductivity. (continued) 2 Entegris, Inc. Zero Defects July 215 www.entegris.com

Process Stability 4IoR Precision of Measurement for Post-CMP Cleaner, ESC-784 In this study the CR288 kit was installed at the point-of-use (POU) on a post-cmp cleaning tool that dilutes the post-cmp cleaner at POU using two flowmeters. One sensor head was installed in each cleaning tank. The results show that the CR288 precisely monitored the POU dilution of the post-cmp cleaner in real time to at least the resolution of the flowmeters (.1 wt%) with measurement resolution for even greater dilutions. NOTE: Incoming chemical is highly concentrated and diluted to the target concentration of 2.78 wt%. The CR288 monitors the POU blend to ensure that the target concentration of 2.78 wt% is met prior to wafer cleaning. Concentration (wt%) 3.5 3.3 3.1 2.9 2.7 2.5 Fluid temperature 2.78% 2.88% New concentration 2.3 17.95 1 2 3 4 5 6 Time (seconds) Figure 5. CR288 measurements of ESC-784 post-cmp cleaning chemistry. 2.98% 3.8% 19.35 19.15 18.95 18.75 18.55 18.35 18.15 Temperature ( C) 4CR288 Millisecond Measurement in HF/SC1 in Single Wafer Tool While the OEM used the CR288 in an FEOL environment, the example applies equally to BEOL. The monitor shows three wafers being processed. A single flow cell was installed at the POU and measured premixed concentrations of distilled water, dilute HF and SC1. The data demonstrate that: Using a single sensor, the CR288 can monitor the chemical that was dispensed at the POU as it switches between RCA cleaning chemistries. The CR288's nearly instantaneous measurement allows users to measure the chemistry on each wafer. The NIR measurement takes two minutes to achieve the required resolution while production continues. Conductivity could only be tuned for one of the chemicals, not all three. Index of Refraction 1.335 1.3345 1.334 1.3335 1.333 1.3325 1.332 1.3315 1:5 HF DIW 1:2:5 SC1 DIW CR288 lor 3 6 9 12 15 18 21 24 27 3 33 36 39 42 45 48 51 54 Time (seconds) Note: Fluid Temperature Compensation (Tcc) optimized for both HF and SC1. Recommend for tool manufacturer to allow Tool PLC to swap individual Tcc values for the two blends. Figure 6. Three wafer cycles of HF, DI water and SC1 dispense: 3-second chemical dispense;.1 sec CR288 response time. www.entegris.com Entegris, Inc. Zero Defects July 215 3

Yield Improvement Entegris InVue Sensing and Control Products Deliver Greater Performance with Advanced Sensor Technology By Lisa Pilati-Warner, Product Marketing Manager - Entegris, Inc. With decreasing line widths and tighter process tolerances, semiconductor manufacturers require more precise control of their chemical mixing. To respond to these increasing demands, Entegris has partnered in the development of an advanced capacitive ceramic sensor for use in InVue Differential Pressure Technology based products NT, Integrated Flow Controllers and Flowmeters. Features and Benefits The new G7 sensor offers: Higher purity ceramic for improved repeatability and accuracy Temperature compensation for greater stability with changes in temperature Advanced microprocessor for greater speed of data processing More robust connection system for improved long-term reliability Embedded filter for humidity effects prevention All benefits combined result in tighter process control and in higher yields. Improved Performance Data 4Accuracy and Repeatability Testing of InVue Integrated Flow Controllers with the current sensor vs. the Figure 1. New G7 sensor Figure 2. SEM photo of the grain structure of standard sensor ceramic (up) vs. high-purity ceramic (down) used in G7 sensor. new G7 sensor demonstrates the higher accuracy and repeatability achieved with the G7 sensor. As a result, Entegris has tightened its accuracy specification to ±1% of Full Scale flow for the full operating range and its repeatability specification to ±.5%. % FS Error Flow Accuracy of IFC NT651 with Current Sensor % Full Scale Error 3. Accuracy 2. Accuracy spec. - -2. -3. 1 2 3 4 5 6 7 8 9 1 11 % FS Flow Figure 3. Sensor accuracy test. Current sensor vs. new G7 sensor % FS Error Flow Accuracy of IFC NT651 with New G7 Sensor % Full Scale Error 3. Accuracy 2. Accuracy spec. - -2. -3. 1 2 3 4 5 6 7 8 9 1 11 % FS Flow % FS Error Flow Repeatability IFC NT651 with Current Sensor - % Full Scale Error 2. 1.5.5. -.5 - -1.5-2. Repeatability Repeatability spec 1 2 3 4 5 6 7 8 9 1 11 % FS Flow Figure 4. Sensor repeatability: current sensor vs. new G7 sensor. 4Sensor Stability Long term stability testing (11 days) of the new sensor shows a 4x improvement in sensor stability (average sensor drift) and a 1x improvement in unit-to-unit variation. % Spec 15 1 5 Sensor Stability (Average 1 Units) Current Sensor Average Spec 1 2 3 4 5 6 7 8 9 1 11 Days of Experiment Figure 5. Sensor stability test of 1 units after 11 days. Current sensor vs. new G7 sensor. A Solution for All Chemistries In addition to providing increased performance in 2. accuracy, repeatability and 1.5 stability, the higher ceramic.5 sensor, when used in combination with the CTFE isolator -.5. - has proven to be a reliable -1.5 solution for hydrofluoric acid -2. applications. This improved compatibility allows Entegris to offer one solution for all chemistries eliminating the need for a separate product offering for use in HF. Product Release Schedule Entegris is releasing the NT651 and NT652 Integrated Flow Controllers with the new sensor to the market in July, 215. Later in the year, the Integrated Flow Controllers, NT65 and NT651 along with flowmeters, NT44 and NT441 with the new sensor, will become available. % FS Error % Spec % FS Error Change Flow Repeatability IFC NT651 with New G7 Sensor - % Full Scale Error 2. 1.5.5. -.5 - -1.5-2. 15 1 5 Repeatability Repeatability spec 1 2 3 4 5 6 7 8 9 1 11 % FS Flow Sensor Stability (Average 1 Units) New Sensor Average Spec 1 2 3 4 5 6 7 8 9 1 11 Days of Experiment % Full Scale Error Change New G7 Sensor 8 months @ 85 C (6.5 yr at RT) HF vs DI Water Exposure G7 sensor after 8 months @85 C (6.5 yr at RT) in HF Sensor specs Sensor specs G7 sensor after 8 months @85 C (6.5 yr at RT) in DIW 1 2 3 4 5 6 Applied Pressure (psi) Figure 6. Chemical exposure of G7 sensor to HF and DI water. 4 Entegris, Inc. Zero Defects July 215 www.entegris.com

Innovation A Comprehensive Approach for Micro and Multiple Bridge Mitigation in Immersion Photolithography By L. D Urzo Entegris GmbH; W. Schollaert, X. Buch JSR Micro N.V.; H. Stokes, Y. Thouroude Dainippon Screen Deutschland Efforts to extend 19 lithography have introduced multiple patterning solutions to print a single level layer. Due to this increased complexity, defectivity on each layer is becoming very critical. Micro and multiple line bridges are one of the primary challenges in photolithography contributing to this complexity. These defects originate from several root causes and are difficult to eliminate. Point-of-use filtration plays a significant role on the mitigation of such defects. The impact of filtration rate and pressure was previously documented. 1, 2 In this research, we demonstrate that the combination of membrane and pore size selection, photoresist optimization and hardware optimization can impact micro and multiple bridge mitigation in a 45 nm line/space pattern created through immersion lithography. Experimental This study ran on an immersion cell comprising an ASML NXT:195i with 1.35NA and a SOKUDO coat-track system, equipped with an Entegris two-stage pump. Evaluated filters and coat-track hardware settings for testing are summarized in Table 1. Resist Filter Filtration Rate Filtration Pressure 1 nm UPE Low Low Low Low UC High High Low High High Low Low Low UPE standard Low Low 1 nm UPE Low Low Low Low UC High High Low High High Low Low Low UPE standard Low Low Table 1. DOE implemented during this evaluation. Installation BKM DRY BKM DRY Coat EXP DEV Target Spin on carbon 135 nm Minimum 8% C-content Spin on glass 3 Minimum 41% Si-content Resist 15 nm NA1.35 Annular ill..9/.7 Best dose Best focus 2.38% TMAH 45 nm L/S Table 2. Litho process conditions for all defect tests. Defects were measured on the KLA-Tencor 2835 and reviewed with the KLA-Tencor edr-71. Classification for the 5 randomly selected and reviewed defects was based on commonly understood lithography defect types associated with 45 nm dense lines. Line width roughness (LWR) was measured with a Hitachi S-938 series CD-SEM. 4Line Preparation Chemical supply line preparation was performed according to the SCREEN coat-track team s BKM. A brief summary of the line cleaning is provided in Figure 1. Every filter has been installed by following this procedure, except for the dry experiment, where filters were immediately soaked with photoresist. Figure 1. Line clean and filter BKM installation procedure. 4Resist Novel photoresists have been developed by JSR that show improved defectivity and line width roughness under immersion exposure conditions when no topcoat is applied. The new resist design to improve defectivity is built around increased hydrophilicity of the resist surface when in contact with standard TMAH developer (Figure 2). 3 Line width roughness improvement is achieved by improved resist contrast (Figure 3) through the introduction of a new lactone unit in the polymer backbone. 4 Novel lactone units in the polymer backbone improve lithoperformance but could also influence the resist filter interaction once installed on the track. This study is showing the effect of a conventional type lactone (resist A) and a new lactone type (resist B) on defectivity using new designs in POU-filters. Static Contact Angle Check Particle Level Line Flushing with Solvent Resist Installation and Purge to Nozzle Check Particle Level Filter Soaking Filter Soaking Figure 2. Reduced static contact angle (increased hydrophilicity) after development by new lactone design. Dissolution Rate (nm/sec) Logarithmic 8 76 72 68 64 6 1, 1, 1 1 1 Before development After development Standard lactone Higher contrast New lactone.1 2 4 6 8 1 Exposure Dose (mj/cm2) Figure 3. Improved contrast by polymer design. Resist Flushing Line Flushing with Solvent Resist Ready for Use (continued) www.entegris.com Entegris, Inc. Zero Defects July 215 5

Innovation 4Filters The filters in Table 3 were tested. Pore Size (nm) Membrane Design Option 1 UPE Impact 2V2 Standard 3 UPE 8G Standard and Ultra Clean (UC) 3 UPE and Polyamide () 8G Ultra Clean (UC) Table 3. Details of filters used during the experiment. 4Ultra Clean Technology (UC) The ultra clean option has been implemented on all advanced lithography filter families. UC defines a comprehensive approach to filter cleanliness, from raw materials to metrology and final QC. To achieve this, all steps of the product s supply chain or manufacturing are controlled and optimized as explained in Figure 4. UC filters allowed us to achieve improved defectivity due to lower particles, metals and organic extractables, associated with a consistently faster start up time. A comparison between standard and ultra clean is provided in Table 4. Impact 2V2 Enhanced Device Cleaning: Organic removal by solvent cleaning Enhanced metal cleaning process Added particle removal step 8G Standard Cleaner Raw Material: Support material Membrane Assembly components Point-of-Use Photo UC Family (Impact 8G UC) Faster Filter Startup Minimal Filter Performance Variability Improved Defectivity Customer Approved 8G Ultra Clean Improved Cleanliness Specs and New Metrology: Lower NVR Lower metal extractable in solvent Lower particle in solvent @ 4 nm Figure 4. Ultra Clean filtration: production cycle and output. 8G Ultra Clean Plus Targeted technology node >28 nm >28 nm 2 14 nm 1 nm Metal (a.u) 1.5.5.1 Organic (a.u.) 1 1.13.6 Table 4. Metal and organic extractable from standard and ultra clean membranes, expressed in arbitrary units, for different filter generation. 4Dual Membrane Technology () The dual membrane filter technology enables different retentive mechanisms by the use of two different membranes, in this study ultra-high molecular weight polyethylene (UPE) and polyamide is used. The retention mechanism of a UPE membrane is based primarily on size exclusion; due to the presence of polar groups in the backbone, polyamide exhibits primarily nonsieving behavior. Consequently, a dual membrane provides sieving and nonsieving retention that has been proven to be beneficial especially for impurities that are typically not removed by a pure sieving effect, such as soft particle (gels) retention. On the other hand, the choice has to be carefully evaluated for each resist type to avoid the unwanted retention of polar photoresist components or additives. Results and Discussion 4Defect Library Defects were classified according to Table 5. Our study mainly focused on line roughness (class 1), single (class 2) and multi bridge (class 3) mitigation. A scanning electron microscopy (SEM) image of each defect mode is shown in Figure 5. Code Class Mode 1 Roughness 2 Single bridge 3 Multi bridge 5 Particles 7 Other 8 Bubbles 1 Substrate 11 Line collapse 12 Residues 4 versus Figure 6 shows the aggregate defect performance, reported in arbitrary units, obtained using resist A and B with different membranes. This difference clearly shows that resist is the main contributor for defectivity in our study. LWR is shown in Table 6; within the same resist type, gives lower LWR. Figure 6. The aggregate defect performance, reported in arbitrary units, obtained using resist A and B with different membranes. Code Class Normalized Defects 1.2.8.6.4.2 UC 5.8 6. 3.8 4. 1 Roughness 8 Bubbles 2 Single Bridge 1 Substrate Table 6. LWR data for resist A and B with different membrane. 3 Multibridge 11 Line Collapse 5 Particles 12 Residues Figure 5. SEM images of each defect mode. UPE Settings UPE 4UPE Membrane Data Set Figure 7 illustrates the defects obtained with resist A and B using a at different filtration pressure and rate. The results clearly show the beneficial effect of using resist B and reducing pore size. Single and multiple bridges were all effectively mitigated by using resist B in a wide process window; the best performance is achieved at high-pressure and high-filtration rate. (continued) 6 Entegris, Inc. Zero Defects July 215 www.entegris.com

Innovation Normalized Defects.8.6.4.2 baseline baseline l/l l/l h/h h/h 1 Roughness 2 Single bridge 3 Multibridge 5 Particles l/h l/h 7 Other 1 Substrate 11 Line collapse 12 Residues h/l h/l This proves that the use of ultra clean technology effectively decreases installation time and simplifies the installation procedure. Optimized UC are under development to reach the performance currently achievable with extended solvent priming. Normalized Defects.9.8.7.6.5.4.3 1 Roughness 2 Single bridge 3 Multibridge 5 Particles 7 Other 8 Bubbles 1 Substrate 11 Line collapse 12 Residues Figure 7. Defects observed with resist A and B on at different filtration pressure and filtration rate compared with 1 nm UPE baseline. 4 Membrane Data Set Figure 8 illustrates significant reduction in roughness, single bridges, and multibridge on resist B. The best condition was achieved at low-pressure and low-filtration rate. Also, with the case resist B shows overall better defectivity results vs. resist A. Finally, resist A appears to be slightly more sensitive to line collapse under our testing conditions. Normalized Defects 1.4 1.2.8.6.4.2 baseline baseline l/l l/l h/h h/h 1 Roughness 2 Single bridge 3 Multibridge 5 Particles l/h l/h 7 Other 1 Substrate 11 Line collapse 12 Residues h/l h/l Figure 8. Defects observed with resist A and B on UC at different filtration pressure and filtration rate compared with baseline (1 nm UPE). 4Ultra Clean Membrane Testing The performance of filters was compared with standard UPE, both installed dry (Figure 9). Filtration rate and pressure for this experiment are reported on Table 1. With resist A, the occurrence of multibridges is extremely severe in the case of standard UPE installed dry; with ultra clean membrane technology these defects are consistently mitigated. clearly succeeds in the elimination of such multiple bridges. When a standard membrane is used dry, bubbles are observed. used without any solvent priming shows a dramatic reduction of defectivity, particularly micro bridges (class 1 and 8)..2.1 Figure 9. Comparison of standard UPE and filters installed dry. Data are in arbitrary units with UPE STD DRY resist A used as reference. Conclusions UPE STD DRY DRY UPE STD DRY The main goal of this study is the elimination of killer defects such as bridges and microbridges and the improvement of line roughness. Experimental evidence achieved in this evaluation demonstrates that an effective defectivity reduction is possible by a comprehensive optimization of resist formulation, membrane technology, and photolitho track hardware settings. Finer pore size filters show and resist has a major impact on overall defect improvement. Ultra clean membranes can consistently decrease installation time and cost. Continuous improvement in resist design is necessary to be able to address the increasing requirements of defect reduction from IC manufacturers. It is clear that a detailed investigation is necessary to recommend the best filter and filter setting for a specific resist. References: 1. J. Braggin et al., Point-of-use filtration methods to reduce defectivity, SPIE Vol. 7639 (21). 2. J. Braggin et al., Analysis of the point-of-use filtration on microbridging defectivity, SPIE Advanced Lithography, February 29. 3. C. Tang et al., Non-Topcoat Resist and Defect Reduction, LithoVision 213. 4. S. Sharma et al., ArF Photoresist LWR Improvement, LithoVision 214. DRY www.entegris.com Entegris, Inc. Zero Defects July 215 7

Product Highlight STAT-PRO 9 CNT-Enhanced PEEK Carbon Transport Carrier: Improved Carrier Performance for a Higher Yield Trends in wafer processing technology have mandated advancements in wafer carrier technology to support today's advanced semiconductor processing facilities. Entegris, with more than 45 years of experience in material science, has developed STAT-PRO 9 Carbon Nanotubes (CNT)-enhanced PEEK Carbon Compound transport carriers with exceptional value in response to semiconductor industry s demand for improved carrier performance at a lower price compared to Stat-Pro 3 carbon fiber PEEK carriers. STAT-PRO 9 offers: Superior performance relative to all materials available in the semiconductor market Mixed run capabilities New carriers run side by side with customers existing carrier population Material Characteristic, Feature and Benefit High-mechanical performance Improved wear performance Improved surface resistivity Extremely low molecular contamination Low water absorption High thermal capability Superior dimensional stability Precise, reliable process tool / AMHS interface Precise and predictable wafer location Reduced particle generation Optimal and consistent SR range Ultra clean material Reduced pump down time High continuous use temperature (12 C) High wafer insertion temperature (34 C) Less tool downtime Higher yield Greater throughput Higher yield Greater fab efficiency Greater throughput 4Integrate Entegris STAT-PRO carriers into your fab operations will significantly contribute to optimize your fab and yield. Feedback We value your feedback and suggestions to help us improve Zero Defects. Please send your questions, suggestions and comments to North_America_News@entegris.com If you would like more information regarding Entegris products and services, please contact your customer service centers (page 1) or refer to Entegris on-line at www.entegris.com Zero Defects Entegris Newsletter Free Subscription Form email to North_America_News@entegris.com fax to 1 8 763 582 Name*: Job title*: Company name*: Dept: Address: Postal code: City: Country: Tel. : E-mail*: * required to complete Send me the Zero Defects pdf file Send me the direct link of Zero Defects Entegris and the Entegris Rings Design, Creating a Material Advantage, STAT-PRO, Torrento, Impact and InVue TM are trademarks of Entegris, Inc. SEMICON is a trademark of Semiconductor Equipment and Materials International Corporation. ASML is a registered trademark of ASML.; SOKUDO is a registered trademark of SOKUDO, USA. L.L.C.; Hitachi is a registered trademark of Hitachi, Ltd.; JSR is a registered trademark of JSR Micro, Inc.; KLA-Tencor is a registered trademark of KLA-Tencor Corporation. Lit. #: 9-7865ENT-715NA 215 Entegris, Inc. All rights reserved. 8 Entegris, Inc. Zero Defects July 215 www.entegris.com