A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

Similar documents
Development of 193-nm wet BARCs for implant applications

A novel 248-nm wet-developable BARC for trench applications

Photoresist-induced development behavior in DBARCs

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process. Data Package

AZ BARLi II Solvent Compatible Bottom Antireflective Coating for i-line Process Data Package

Advanced developer-soluble gap-fill materials and applications

Tailorable BARC system to provide optimum solutions for various substrates in immersion lithography

Understanding. Brewer Science

Photolithography I ( Part 2 )

Technical Data Sheet Technisches Datenblatt

Hybrid BARC approaches for FEOL and BEOL integration

UV6 POSITIVE DUV PHOTORESIST For DUV Applications

UV5 POSITIVE DUV PHOTORESIST For DUV Applications

ARC XL Series. Broadband g-line & i-line Anti-Reflective Coatings

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Metal Oxide EUV Photoresists for N7 Relevant Patterns

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

In-situ Metrology for Deep Ultraviolet Lithography Process Control

Lithography Simulation of Sub-0.30 Micron Resist Features for Photomask Fabrication using I-line Optical Pattern Generators

Processing guidelines. Negative Tone Photoresists mr-ebl 6000

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

Measurement of Parameters for Simulation of Deep UV Lithography Using a FT-IR Baking System

micro resist technology

Lithography Tool Package

Pattern Dependent Satellite Defects in Via Lithography

micro resist technology

MCC. LOR Lift-Off Resists LOR RESISTS OFFER TYPES OF RESISTS

UVIII POSITIVE DUV PHOTO RESISTS

AR2 and AR3 DUV ANTI-REFLECTANTS

Improving material-specific dispense processes for low-defect coatings

Modeling anomalous depth dependent dissolution effects in. chemically amplified resists

Dow Corning WL-5150 Photodefinable Spin-On Silicone

Developments of Photosensitive Polyimides and Photosensitive Polybenzoxazoles Mitsuru Ueda

P4000 Thick Film Photoresist

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

Single-digit-resolution nanopatterning with. extreme ultraviolet light for the 2.5 nm. technology node and beyond

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Introduction to Nanoscience and Nanotechnology

UV15: For Fabrication of Polymer Optical Waveguides

Metal reduction at point-of-use filtration

Fabrication Technology, Part I

Hybrid high refractive index polymer coatings

Czochralski Crystal Growth

New materials for surface energy control of 193 nm photoresists

Major Fabrication Steps in MOS Process Flow

Chemical Vapor Deposition

Direct Analysis of Photoresist by ICP-MS. Featuring the Agilent Technologies 7500s ICP-MS

INTERVIA BPP-10 Photoresist

Use of Spin-On-Hard Mask Materials for nano scale patterning technology

Photolithography Process Technology

MCC. NANO PMMA and Copolymer

High-resolution defect metrology for silicon BARC analysis

Advanced Polymers And Resists For Nanoimprint Lithography

Lithography options for the 32nm half pitch node. imec

Key Technologies for Next Generation EUV Lithography

Patterning Capability and Limitations by Pattern Collapse in 45nm and below Node Photo Mask Production

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

AZ P4620 Photoresist Data Package

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Fabrication and Layout

Surface Passivation Process Study with Polyimide for High Voltage IGBT

Process Development of Sidewall Spacer Features for Sub-300nm Dense Silicon FinFETs

Process Flow in Cross Sections

Supporting Information

Use of SU-8 Negative Photoresist for Optical Mask Manufacturing

MEMS Surface Fabrication

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Processing guidelines

Performance of Single Layer Resists

Microelectronic Device Instructional Laboratory. Table of Contents

J. Photopolym. Sci. Technol., Vol. 22, No. 5, Table 1. Compositions of top coat and non-top coat resist materials evaluated in this work.

Supporting Information: Model Based Design of a Microfluidic. Mixer Driven by Induced Charge Electroosmosis

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

Lab #2 Wafer Cleaning (RCA cleaning)

Study on Cone-defects during the Pattern Fabrication Process with Silicon Nitride

Recent Innovations in ArF Sumiresist

PROCESS FLOW AN INSIGHT INTO CMOS FABRICATION PROCESS

Photomask Fabrication: Designing of Test Structure

Introduction to Lithography

White Paper: Pixelligent LED Encapsulation

NANOMETER AND HIGH ASPECT RATIO PATTERNING BY ELECTRON BEAM LITHOGRAPHY USING A SIMPLE DUV NEGATIVE TONE RESIST

A discussion of crystal growth, lithography, etching, doping, and device structures is presented in

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Investigation of ProTEX PSB Thin Film as Photosensitive Layer for MEMS capacitive pressure sensor diaphragm based Si/SiC Wafer

PHYS 534 (Fall 2008) Process Integration OUTLINE. Examples of PROCESS FLOW SEQUENCES. >Surface-Micromachined Beam

A Novel Low Temperature Self-Aligned Field Induced Drain Polycrystalline Silicon Thin Film Transistor by Using Selective Side-Etching Process

Lecture 1A: Manufacturing& Layout

Photoacid Generator Selection Guide for the electronics industry and energy curable coatings

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Introduction to Polymer-Dispersed Liquid Crystals

Micro- and Nano-Technology... for Optics

Polymer-based Microfabrication

/15/$ IEEE Electronic Components & Technology Conference

CYCLOTENE* 4000 Series Advanced Electronic Resins (Photo BCB)

Microbridge reduction in negative tone imaging at photoresist pointof-use

Transcription:

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography Ramil-Marcelo L. Mercado, Joyce A. Lowes, Carlton A. Washburn, Douglas J. Guerrero Brewer Science, Inc., 2401 Brewer Dr., Rolla, MO, USA 65401 ABSTRACT A novel approach to developer-soluble bottom anti-reflective coatings (BARCs) for 248-nm lithography was demonstrated. The BARC formulations are photosensitive, dye-filled systems incorporated with a polymer binder. The films are generated by thermally crosslinking the polymer matrix, and are then photochemically decrosslinked in order to render them soluble in developer solutions. The BARCs are compatible with solvents commonly used in the industry. Easy modification of the films with regard to optical properties for potential use with various substrates was also demonstrated. The BARCs exhibit anisotropic development in aqueous tetramethylammonium hydroxide (TMAH) solutions subsequent to simulated photoresist application, exposure, and post-exposure bake. Keywords: 248 nm, developer soluble, photosensitive, anti-reflective coatings 1. INTRODUCTION With the IC industry moving to and implementing the 65 nm and 45 nm device nodes, the accompanying critical dimension (CD) control required for implant layers has increased. At the 65 nm node, implant CD is expected to be at 180 nm or less, 1,2 and is about 150 nm for the 45 nm node. 2 KrF lithographic processes are currently used for implant layers, with the foremost approach using dyed resist along with a top antireflective coating (TARC). 1 This approach, however, will no longer provide adequate performance beyond the 65 nm node. 2 In addition, ion implantation is usually done on substrates that have topography, and the topography causes large CD variations for a resist (dyed or undyed) with a TARC. 2,9 Bottom anti-reflective coatings (BARCs) have traditionally offered better CD control than the dyed resist- TARC combination. 1-6,9,10 It has also been shown that a BARC will sufficiently cover substrates with 150 nm step heights and still provide adequate reflection control, leading to better CD control. 9 The drawback with this process is that organic BARCs are usually removed in a reactive ion etching (RIE) step, which adds cost and may damage the implanted substrate. As a result, developer-soluble BARCs have been developed such that the BARC layer is removed in the same step as the photoresist removal, which eliminates the need for an RIE step. 1-8 Previous generations of developer-soluble BARCs are based on polyamic acids. 3-5 These systems have development rates that are determined by the BARC post-application bake (BARC PAB) temperature, and their development behavior in tetramethylammonium hydroxide (TMAH) developer is isotropic. Therefore, the lithographic profiles are largely determined by both temperature and development time, limiting the acceptable processing window. Quasi-anisotropic development behavior has been demonstrated in polyamic acid BARCs by utilizing a bilayer system that exhibits different development rates. 3 This method, however, adds another sequence of BARC coating and PAB steps to the process, and is still limited by the acceptable BARC bake window. Anisotropically developing BARCs have been reported previously, both for use in KrF 1,2,7 and ArF 2,6,8,9 lithography. Anisotropic development has been achieved by making the BARCs photosensitive to the wavelength of interest. Copyright 2007 Society of Photo-Optical Instrumentation Engineers. This paper will be published in Proceedings of SPIE, volume 6519, and is made available as an electronic preprint with permission of SPIE. One print or electronic copy may be made for personal use only. Systematic or multiple reproduction, distribution to multiple locations via electronic or other means, duplication of any material in this paper for a fee or commercial purposes, or modification of the content of the paper are prohibited.

One approach 1,2 that has been employed is to make the equivalent of a very heavily dyed, thin photoresist. Such a BARC is comprised of a polymer with highly absorbing groups and acid labile functionalities, and incorporates photoacid generators (PAGs) and amine quenchers in its formulations. This approach has shown good lithographic performance and wide process windows 1,2, but the BARC formulations themselves are limited in that they are necessarily made to avoid solubility in common photoresist solvents such as propylene glycol monomethyl ether (PGME) and propylene glycol methyl ether acetate (PGMEA). While this formulation design minimizes intermixing with the photoresist, such BARCs present potential processing and logistical problems in the fab. PGMEA is also used as an edge bead remover (EBR), and these BARCs would need separate spin bowls and drain lines to prevent precipitation of the polymers. Another approach has been to incorporate photocleavable functional groups into polymer backbones, such as polycarbonates and polysulfones. 7 These polymers are initially soluble in ethyl lactate (EL) and PGMEA, but are rendered insoluble by baking above 170 C. Micron-scale patterning ability was demonstrated in these systems. A third approach to achieve anisotropically developing BARCs was demonstrated in 2006, 6,8 although this approach was geared for ArF lithography. In this method, a polymer with carboxylic acid moieties was combined with a multifunctional crosslinker, PAG, quencher, and solvent in a formulation. The components are soluble in common industry solvents prior to the BARC PAB. The baking step produces a crosslinked film, which minimizes interactions with the photoresist. The BARC is then processed in the same steps as the photoresist (i.e., exposure, post-exposure bake, develop) to produce the lithographic image. This crosslinking approach thus maintains compatibility with the EBR solvents and processes. In this work, the authors describe yet another approach, focused primarily on 248-nm lithographic needs, of developing BARCs that are photosensitive in nature and thus are expected to have anisotropic development behavior. 2.1 Chemistry 2. EXPERIMENTAL The formulations consist of polymers with carboxylic acid groups, oligomeric dyes, crosslinker, PAG, quencher, and industry-accepted solvents. The polymers themselves are essentially transparent to 248-nm radiation. The BARC films are initially soluble and are rendered insoluble by the BARC PAB. This process is depicted graphically in Figure 1. DYE Polymer + BARC bake Crosslinking O O R O H R O 1. Photoresist application 2. PAB 3. Exposure 4. PEB Development (Me) 4 N + OH - Figure 1. Graphical depiction of the BARC crosslinking and photo-induced decrosslinking process.

Polymer molecular weights were obtained using a Waters gel Permeation chromatograph, with a Polymer Laboratories PLgel 5-µm mixed bed column. Tetrahydrofuran was used as the mobile phase, and polystyrene was used as the standard. 2.2 PROLITH Modeling PROLITH version 9.3.3.14 from KLA-Tencor was used for all modeling work. 2.3 Experimental Design Design-Expert version 6.0.5 was used for developing the experimental designs described. A simplex lattice mixture design with 3 factors and 6 levels was used for both formulation experiments. The amounts of polymer, dye, and crosslinker were varied in the first set of experiments. The samples were evaluated for refractive index (n), imaginary component of the refractive index (k), EL stripping, and developer stripping. The optimum formulation was then used for the second set of experiments. The amounts of PAG 1, PAG 2, and quencher were varied in the second set of experiments. The rest of the formulation components (polymer, crosslinker, and solvent) were held constant. 2.4 Contrast curve generation and topography clear-out Film thickness on a 4-inch silicon substrate was measured with a LSE-WS Stokes WAFERSCAN ellipsometer (Gaertner Scientific Corporation). BARC films were baked at 160 C for 60 seconds and subjected to a simulated resist PAB (110 C for 60 seconds). The BARC film was exposed on an Oriel DUV broadband light source with a 248 nm bandpass filter. The exposure technique is shown in a diagram (Figure 2). A PEB of 110 C for 60 seconds was applied, and then the BARC was developed for 60 seconds using PD523AD. A similar technique was used to evaluate how the BARC film would be removed from topography. Topography chips had 80-nm-wide spaces and 100-nm depths. Oriel: Broadband centered at 255 nm Bandpass Filter: 248 nm 2.12 Figure 2. Experimental setup for BARC photochemical evaluation with a broadband light source.

2.5 Processing and Lithography Ethyl lactate was used as a stripper solvent to determine the minimum temperature required for baking the BARC while maintaining solvent resistance after coating and curing. The solvent was allowed to puddle on the film for 20 seconds, and was spin dried. The film thickness was compared before and after contact with solvent. BARC bakes were 160 C for 60 seconds. Optical constants n and k were measured at 248 nm using a J. A. Woollam Co. VUV-VASE variable-angle spectroscopic ellipsometer. The BARC formulations were coated and baked on silicon substrates. The BARC thickness used was 80 nm, which is the thickness at the first reflectivity maximum. M91Y was the photoresist used. Target CD was 180 nm (1:1 L/S), resist thickness was 410 nm, resist PAB was at 130 C for 60 seconds, resist PEB was at 130 C for 60 seconds, annular illumination (NA: 0.7, σ: 0.875/0.575), and developer used was OPD 262 (40 seconds). 3.1 Formulation Design 3. RESULTS AND DISCUSSION The purpose of the first set of experiments was to determine if an acceptable BARC formulation could be obtained by varying three components of the formulation: polymer, dye, and crosslinker. The BARC film should have an acceptable absorbance, as represented by the k value, and the film must also be essentially insoluble in the photoresist solvent (simulated by the EL strip test) after baking. In addition, the film must also be insoluble in developer solution. Table 1 shows the actual amounts of each component used in this set of experiments. Table 1. Formulation compositions used to optimize BARC stripping and optical parameters. Component 1 Component 2 Component 3 A: Polymer B: Dye C: Crosslinker g g g 0.1006 0.6033 0.4737 0.3016 0.3016 0.2368 0.2011 0.3016 0.4737 0.1006 0.9050 0.2368 0.1347 0.7059 0.3174 0.2353 0.4042 0.3174 0.1006 0.3016 0.7106 0.3016 0.3016 0.2368 0.2011 0.6033 0.2368 0.1006 0.3016 0.7106 0.1669 0.5007 0.3932 0.1006 0.9050 0.2368 0.1669 0.5007 0.3932 0.1347 0.4042 0.5542 Results of the combined developer, EL strip and optical parameter tests are shown in Figure 3.

Figure 3. Triangle diagrams showing components and relative amounts (%). The area delineated by the black borders indicates acceptable formulation ranges. As shown in Figure 3, a relatively broad area was obtained from which acceptable BARC formulations could be made. Refractive index, n, values range from 1.62-1.71, while k values range from 0.23 to 0.42. The area bordered in black indicates the formulation compositions that exhibit minimal stripping in EL and TMAH-based developer. Arbitrarily setting a desired k value of 0.4 and ignoring n, the optimum formulation obtained is then one comprised (in %) relative to each component: polymer: 50, dye: 105, and crosslinker: 95. This formulation was then used in the second set of experiments wherein the polymer, dye, crosslinker, and solvent amounts were held constant and the amount of 2 PAGs and the quencher were varied. These amounts are shown in Table 2. Table 2. Formulation compositions used to determine BARC lithographic performance. Component 1 Component 2 Component 3 A: Quencher B: PAG 1 C: PAG 2 g g g 0.0116 0 0.0959 0.0274 0.0767 0.0163 0.1043 0 0 0.0274 0.0195 0.0643 0.0580 0.0572 0 0.0116 0.1140 0 0.0116 0 0.0959 0.1043 0 0 0.0116 0.1145 0 0.0737 0.0195 0.0163 0.0580 0 0.0480 0.0422 0.0378 0.0317 0.0580 0.0572 0 0.0116 0.0572 0.0480

The formulation used did not show appreciable stripping in EL prior to the addition of PAG and quencher. However, this was no longer the case after both components were added to the formulations. These results are shown in Figure 4. The area in the lower left corner represents formulations that can be obtained without causing BARC film loss in EL ( 5 nm of film loss). This experiment shows that the addition of ionic components and basic quencher interferes with the crosslinker mechanism (ester formation). Figure 4. EL strip test results for BARC formulations. Triangle corners represent maximum amounts of each component in the experimental design. The bottom left corner represents the area of minimal stripping. 3.2 Contrast curve and topography results Contrast curve generation (Figure 5) using a 248-nm filtered broadband light source indicated that the BARC film does have positive-working, photosensitive behavior. Using the same experimental procedure, it was demonstrated that the BARC film is able to develop out of tight spaces at exposure doses greater than 10 mj/cm 2 (Figure 6). Figure 5. Contrast curve of BARC obtained from using broadband light source with 248-nm bandpass filter.

Open Area Dense 90-nm Trench Iso 100-nm Trench 10 mj 20 mj 30 mj Figure 6. Cross-section images of topography showing BARC behavior after different exposure doses. 3.3 Lithographic evaluation The reflectivity curve on silicon was generated using PROLITH. Based on prior work on 193-nm photosensitive BARCs, 6 it was determined that performance would be evaluated at the first maximum reflectivity thickness. The reflectivity curve is shown in Figure 7. Figure 7. Reflectivity curve on silicon for 248-nm BARC formulation. While the design solution was able to perform well lithographically at the first maximum reflectivity thickness of 80 nm to give 150-nm (1:1 L/S) resolution (Fig. 8a), the cross-sections showed a significant

amount of BARC-resist interaction. It appears that the resist was being poisoned by the BARC, as it never fully clears and leaves a thin layer of resist at the BARC interface (Fig. 8b). 8a 8b Figure 8. SEM images of 150-nm (1:1 L/S) features obtained from M91Y and 248-nm BARC formulation. 3.4 Effect of polymer The effect of the polymer on the lithographic performance was also investigated. Two similar polymer binders were prepared, with polymer 1 containing a phenyl unit, and polymer 2 containing an alicyclic unit in place of the phenyl unit. The properties of each polymer are shown in Table 3. Table 3. Polymer properties comparison. Polymer 1 Polymer 2 Molecular Weight 16,100 18,050 n at 248 nm 1.6301 1.586 k at 248 nm 0.0024 0.0012 There were no appreciable differences obtained in the measurement of depth of focus (DOF) for 180-nm CD (1:1 L/S), shown in Figure 9. These findings are not unexpected, as the photoresist and the processing are identical. However, a marked performance increase is obtained in the measurement of exposure latitude between the two polymers (Figure 10). Polymer 1 shows larger exposure latitude than polymer 2. Possible explanations for this observation are that the presence of the phenyl rings in the polymer backbone (polymer 1) induces energy transfer to the PAG in the BARC and resist. 11 It has also been suggested that more polar polymer matrices (in this case, polymer 1) enhance the rate of deprotection in resist systems by stabilizing ionic intermediates and highly polar transition states. 12 Although this is not a resist system, there is evidence of close BARC-resist interaction in the SEM cross-sections (Fig. 8b), and the decrosslinking mechanism can be likened to a photoresist deprotection transition state.

Figure 9. DOF measurements for similar BARC formulations with differing polymer binders. Figure 10. Exposure latitude measurements for similar BARC formulations with differing polymer binders. 4. CONCLUSIONS The novel approach of combining a transparent polymer binder, oligomeric dye, crosslinker, PAGs, and quencher to develop a photosensitive, developer-soluble BARC was demonstrated. The BARCs are soluble in industry-accepted solvent systems. These BARCs have sufficient k values to reduce the substrate reflectivity sufficiently such that small CDs are achieved. The BARC films are able to clear out of small spaces given a sufficient exposure dose. However, much more optimization needs to be done in order to ensure compatibility with photoresists for KrF lithography.

REFERENCES 1. Owe-Yang, D.C.; Ho, B-C.; Miyazaki, S.; Katayama, T.; Susukida, K.; Kang, W. and Y.-C. Chang, Application of photosensitive BARC and KrF resist on implant layers, Proc. SPIE 5376, 452-460 (2004). 2. Katayama, T.; Motobayashi, H.; Kang, W.; Toukhy, M.; Oberlander, J.; Ding, S. and M. Neisser, Developable bottom antireflective coatings for 248nm and 193m, lithography, Proc. SPIE 5377, 968-973 (2004). 3. Guerrero, D.J.; Smith, T.; Kato, M.; Kimura, S. and T. Enomoto, Two-layer anti-reflection strategies for implant applications, Proc. SPIE 6153, 242-249 (2006). 4. Cox, C.; Dippel, D.; Ghelli, C.; Valerio, P.; Simmons, B. and A. Guerrero, Developer soluble organic BARCs for KrF lithography, Proc. SPIE 5039, 878-882 (2003). 5. Shao, X.; Guerrero, A. and Y. Gu, Semicon China SEMI Technology Symposium, 2004. 6. Meador, J.; Beaman, C.; Lowes, J.; Washburn, C.; Mercado, R.; Nagatkina, M. and C. Stroud, Development of 193-nm wet BARCs for implant applications, Proc. SPIE 6153, 854-863 (2006). 7. Guerrero, D. and T. Trudgeon, A new generation of bottom anti-reflective coatings (BARCs): photodefinable BARCs, Proc. SPIE 5039, 129-135 (2003). 8. Guerrero, D. J.; Mercado, R.; Washburn, C. and J. Meador, Photochemical studies on bottom antireflective coatings," J. of Photopol. Sci. and Tech., (19) 3, 343-347 (2006). 9. Washburn, C.; Mercado, R.; Washburn, C. and J. Meador, "Controlling CD and process window limits for implant patterning," Solid State Technology, 49(10), 53-56 (2006). 10. Guilmeau, I.; Guerrero, A. F.; Blain, V.; Kremer, S.; Valchellerie, V.; Lenoble, D.; Nogueira, P.; Mougel; S. and J.-D. Chapon, Evaluation of wet-developable KrF organic BARC to improve CD uniformity for implant application, Proc. SPIE 5376, 461-470 (2004). 11. Allen, R. D.; Opitz, J.; Larson, C. E.; Wallow, T. I.; DiPietro, R. A.; Breyta, G.; Sooriyakumaran, R.; Hofer, D. C., The Influence of Photoacid Structure on the Design and Performance of 193-nm Resists," J. of Photopol. Sci. and Tech., (10) 3, 503-510 (1997). 12. Pohlers, G.; Barclay, G.; Razvi, A.; Stafford, C.; Barbieri, T.; and Cameron, J., Why do weak acids not work in 193-nm photoresists? Matrix effects on acid catalyzed deprotection, Proc. SPIE 5376, 79-93 (2004).