Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

Similar documents
Photolithography I ( Part 2 )

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Customer Support: Leveraging Value of Ownership

Technical Data Sheet Technisches Datenblatt

Optimizing spray coater process parameters

Advanced developer-soluble gap-fill materials and applications

Understanding and Reducing Copper Defects

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Hybrid BARC approaches for FEOL and BEOL integration

ZERO DEFECTS Entegris Newsletter

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

E-Beam Coating Technology for EUVL Optics

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Lehman Brothers T Conference San Francisco. Craig DeYoung, Vice President Investor Relations December 9, 2004

Quality in Electronic Production has a Name: Viscom. System Overview

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

Plasma for Underfill Process in Flip Chip Packaging

Laser printing and curing/sintering of silver paste lines for solar cell metallization

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Poly-SiGe MEMS actuators for adaptive optics

Particulate Contamination: How to Quantify Parts Cleanliness

Film Defects vs Solutions

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Real-time X-ray radioscopy on metallic foams using a compact micro-focus source

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

FIB mask repair technology for EUV mask 1. INTRODUCTION

Aluminium & Non-Ferrous Production Optimization Fast, Reliable, Efficient

How To Write A Flowchart

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

Optical Inspection for the Field of Thin-Film Solar

Splat formation in plasma-spray coating process*

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

O-Rings & Kits Wipers Compounds Custom Parts Specialty Parts Services Wear Guides Rod & Piston Seals Services QUALITY VALUE SERVICE.

SU Permanent Epoxy Negative Photoresist PROCESSING GUIDELINES FOR:

High Density Perforation of Thin Al-Foils with Ultra Short Pulse Lasers in Dependence on the Repetition Rate

Laser damage threshold of AR coatings on phosphate glass

Ultra High Barrier Coatings by PECVD

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

Material-independent Fabrication of Superhydrophobic Surfaces by Musselinspired

Endex Foam Extrusion General Information Guide

Type III is most commonly used Paste. Finer pitch devices generally require finer metal particle sizes

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Application Guidelines

Productivity versus Profitability in Vacuum Web Coating

Magnetic Force Microscopy: nanoscale magnetic imaging and lithography

Post-CMP Cleaning: Interaction between Particles and Surfaces

Lithography Tool Package

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Characteristics of Solder Paste

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Lab #2 Wafer Cleaning (RCA cleaning)

Micro-Precision Coil and Formed Wire Products for the Medical Device Industry

Modeling of Local Oxidation Processes

micro resist technology

WATOM.. Wafer Topography Measurement KOCOS AUTOMATION GMBH [ ENG ]

Generic product specifications Squall International. Squall International. 27 september 2013

Wafer probe challenges for the automotive market Luc Van Cauwenberghe

Optical Profilometry of Substrate Bow Reduction Using Temporary Adhesives

Available online at ScienceDirect. Materials Today: Proceedings 2 (2015 )

EFFICIENCY AND PRODUCTIVITY INCREASE OF SOLAR-CELLS AND -MODULES BY INNOVATIVE LASER APPROACHES

STANDARD PROCEDURE: NWSP R0 (15) Breaking Force and Elongation of Nonwoven Materials (Strip Method)

Chips Face-up Panelization Approach For Fan-out Packaging

Optimizing the Assembly Process with Cure-On-Demand UV/Visible Light-Curable Adhesives

Prima Power at Euroblech Many technological breakthroughs and its own new fiber source on the whole laser range

Universal Bottle Sampler

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

A Novel Retaining Ring in Advanced Polishing Head Design for Significantly Improved CMP Performance

Image Capture, Processing and Analysis of Solar Cells for Engineering Education

ESFUELCELL MAXIMIZING THE USE OF PLATINUM CATALYST BY ULTRASONIC SPRAY APPLICATION

Industrial Coatings: HIGHLY DURABLE, SUPERHYDROPHOBIC AND OLEOPHOBIC. (White Paper) NeverWet LLC

Innovative Laser Processing Technologies

IN-SITU ANNEALING OF Cu(In,Ga)Se 2 FILMS GROWN BY ELEMENTAL CO- EVAPORATION

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections

Reference Only. Spec. No. JENF243E-0003Q-01 P 1 / 8. Chip EMIFIL LC Combined Type for Large Current NFE61PT 1H9 Reference Specification

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Specimen configuration

Test Flow for Advanced Packages (2.5D/SLIM/3D)

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Deforming water droplet with a superhydrophobic silica coating

Regents of the University of California

Nanoscale Barrier Coating on BOPP Packaging Film by ALD Presented by: Dr. Johanna Lahti Senior Research Fellow Tampere University of Technology

Thin Wafers Bonding & Processing

Developing Solutions for the Environmental Challenges to Deploying PV Plants in Desert Areas

photovoltaics services Photovoltaics Analysis, Testing, certification gain access to global PhotoVoltaic markets

Wet granulation of blast furnace slag has been

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

An Advanced Reliability Improvement and Failure Analysis Approach to Thermal Stress Issues in IC Packages

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

Solvent pre-wetting as an effective start-up method for point-of-use filter

WEAR AND BLANKING PERFORMANCE OF AlCrN PVD-COATED PUNCHES

Microfil GP. Range. Validation Guide. World Class Filtration Solutions

Transcription:

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems Jan Mulkens, Bob Streefkerk, Hans Jasper, Jos de Klerk, Fred de Jong, Leon Levasier and Martijn Leenders. ASML Netherlands B.V. De Run 651, 554 DR Veldhoven, The Netherlands ABSTRACT This paper discusses the current performance and the evolution of five generations TWINSCAN immersion scanning exposure tools. It is shown that production worthy overlay and focus performance can be achieved at high scan speeds. The more critical part for immersion tools is related to defects, but also here improvements resulted in production worthy defect levels. In order to keep the defect level stable special measures are needed in the application of wafers. Especially Edge Bead Removal (EBR) design and wafer bevel cleanliness are important. 1. INTRODUCTION The history of microlithography teaches us that the full integration of new lithography technologies into volume production takes about 1 years. Immersion lithography however, is currently being brought to manufacturing in less than 5 years. The early availability of immersion exposure tools has been one of the key enablers for this fast introduction. The learning done with these early immersion exposure tools resulted in fast and gradual improvements of the immersion technology. Since 23 we have been shipping five generations of immersion scanners with maximum NA starting from.75 up to 1.35 for the latest tool [1,2,3,4]. Over time we have been improving the specific immersion modules in the scanners, resulting into improvements in defects, overlay and productivity. In this paper we will review the learning we did on these critical performance items. It will be discussed how improvements in water containment and improvements in immersion resist processing lowered the defect numbers to levels as required for volume manufacturing. On overlay and focus performance it will be discussed how the thermal management of immersion water and wafer can bring overlay down to numbers comparable as seen on dry exposure systems. Data will be presented representing the performance of more than 3 immersion systems and for a variety of user conditions. 2. BUILDING BLOCKS IMMERSION EXPOSURE SYSTEMS The immersion performance of a lithographic exposure system is reflected in defects, overlay and productivity. As figure 1 illustrates, the fluid containment is at the center of immersion performance triangle. The fluid containment is done with an immersion hood (IH) which controls the meniscus of the local water puddle under the lens. When a wafer is scanned under the water volume, viscous forces start to pull the film and affect the meniscus. Above a certain speed,

the containing meniscus becomes unstable and a water film is pulled out of the water volume, and later breaks up into droplets. The water droplets can evaporate and the evaporation heat will cool down the wafer locally. Consequently the wafer will be distorted eventually leading to overlay errors. Looking to defects, the droplets on the wafer may form drying stains or may form water-mark of defects [5]. The challenge of the design of the immersion system now is to maximize scan speed and minimize the impact on defects and overlay. Figure 1: The immersion system design triangle of overlay, defects and productivity. Besides the specific design of the Immersion Hood (IH) also the surface properties of the resist stack have a major influence on the meniscus stability and hence water containment. The two main factors are the surface hydrophobicity and topography. Different resist and top coats have different contact angles with water, and thus the design of the IH should enable a wide operating range, covering the range between more hydrophilic surfaces (receding contact angle of 4 degrees or less) to more hydrophobic surfaces (receding contact angle of 7 degrees or more). To maximize the operating range at high scan speeds, ASML immersion scanners employ an IH based on a double fluid containment concept. An illustration of this is given in figure 2. Mechanism #1 Meniscus Stability Mechanism#2: Air Curtain Projection Lens actuation Wafer Scan direction P h θ > v Figure 2: ASML immersion hood concept with double containment concept The first and main containment mechanism controls the meniscus of the puddle. The meniscus stability of the water film is affected by the scan speed (v), the viscosity and surface tension of the water, the contact angles on the resist side and the IH side, the water flow inside the puddle and the gap (h) between the wafer and the IH. The IH used in ASML systems have an actuator on the gap height. The second added containment method is based on an air curtain. In practice the air curtain increases the critical speed up to which water can be contained within the IH especially for more hydrophilic surfaces.

Next to the IH, the wafer stage (WS) is an important building block for immersion systems. The WS holds the wafer and the structure that holds the wafer is designed such that the wafer deformation is minimized. Evaporation effects of water on the wafer can be counter acted by thermal conditioning of the wafer. The WS also includes solutions for water containment at the edge of the wafer, the bevel edge seal (BES). Closing disk Bevel Edge Seal (BES) Wafer table (WT) Figure 3: The Wafer Stage (WS) for immersion systems including Wafer Table (WT), Closing disk and Bevel Edge Seal (BES). In table 1 we summarize the design steps of IH and WS for the five different generations of immersion tools. The different IH types improve gradually on water containment and critical speed at which droplets are lost, whereas the different steps in WS design show improvements on thermal control of the wafer. Table 1: Immersion design improvements for five generation of tools Tool Lens Scan IH design WS design NA Speed AT:115i.75 36 mm/s Proto type Proto type XT:125i.85 5 mm/s Actuated type conditioned WS XT:14i.93 5 mm/s Actuated type Bevel Edge Seal (BES) XT:17i 1.2 55 mm/s Adapt 1.2 NA with improved flow Improved thermal design XT:19i 1.35 6 mm/s Adapt 1.35 NA with improved flow Improved material 3. DEFECTS CLASSIFICATION AND PERFORMANCE Defect inspection of patterned wafers is normally done with optical inspection tooling, subsequently followed by a SEM review of the found defects. The measured defects are classified into types which relate to their root causes. Defect categories also known in conventional dry lithography are those linked to the resist coating step and development steps. For immersion lithography not only the known defect types can be found, but additionally new types have been identified. In table 2 we give an overview of the classes we use in our immersion tool qualification process. In figure 4 we present a comparison data set of defects measured on a dry XT:14 tool and a wet XT:14i tool. The measurements are based on an annular exposure with.93 NA, a 1nm LS reticle, BARC 1c5d (AZ-Clariant), resist Tarf6111 (TOK) and top coat TCX41 (JSR). The KLA 2365 is used for defect inspection. Looking to the results presented in figure 4 and the classification in table 2, we distinguish between immersion related defects and immersion doubtful defects. The immersion related defects include pattern expansion, drying stains, attenuations and printed particles, whereas the immersion doubtful defects are bridges between two lines, u-bridges and missing pattern. From a scanner design perspective, the defect root causes can be droplet related (pattern expansions, drying stains or inverted attenuations), bubble related (attenuation) or particle contamination related.

Table 2: Defects classes and root causes Class expansion Drying stains Inverted attenuation attenuation examples Structure Size Circular or irregular.1 1 µm round deposits 1 1 µm Circular shape Narrower pitch.1 1 µm circular shape Wider pitch.5 2 µm Root Cause Droplet interaction with resist Droplet drying after resist leaching Droplet swelling topcoat/resist before exposure Bubble on topcoat/resist Class Printed particle Bridge Micro Bridge Missing examples Structure Size Irregular; Particle affecting pattern.1 5 µm Bridge between 2 lines <.5 µm hair-like bridge between 2 lines <.2 µm Circular, resist is gone.1 5 µm Root Cause Particles exposed Resist process or small particle Incomplete develop rinse or small particle solvent splash back in track Comparison Experiment Dry versus Wet 3 defect count 2 1 dry wet Expansion Drying Stain Attenuation Invert Attenuation Printing Particle Non-printing Particle Bridge µ-bridge (s) Figure 4: Defect classification measurement comparison between dry XT:14 and wet XT:14i The immersion defect performance for XT:17i exposure system is evaluated prior for system shipment. The processing for the wafers exposed is done either with the SCREEN RF3 track or the TEL Lithius i+ track. The tracks are

not linked to the systems. The defects are analyzed on the KLA 2365 (UVBF mode, threshold 15) with SEM review on a Hitachi SEM, and based on 1nm LS exposure using, BARC 1c5d (AZ-Clariant), resist Tarf6111 (TOK) and top coat TCX41 (JSR). In figure 5 we present the results on total defects count (immersion related including particles) and in figure 6 we present a breakdown of all measurements into the different classes. 1 8 First systems Latest systems defects # 6 4 2 XT:17 systems Figure 5: Total defects count per wafer measured for multiple XT:17i systems. 6% Immersion System Related Doubtful relative occurrence [%] 5% 4% 3% 2% 1% droplet related bubble related % Expansion Drying Stain Invert Attenuation Attenuation Printing Particle Bridge µ-bridge (s) Missing Figure 6: Breakdown of all defect data on XT:17i into the different classes. The results of figure 5 show that the average total defects count for the latest systems is 15 defects per wafer. The best result is 2 defects per wafer. Figure 6 shows that the majority of the immersion defects originate from particles which are printed during the exposure. A smaller significant part (<1%) of the defects is pattern expansion, coming from residual droplets. In few cases (<2%) attenuations are observed. Looking to the performance on immersion specific defects, the results of three XT:17i production systems are presented in figure 7. The results show that immersion defects are significantly lower than 5 defects per wafer, with zero defects per wafer as best result. In figure 8 we present

through batch performance for one of the XT:17i machines. It is shown that for all batch defect performance can be well below 5 defects per wafer. Zero defects Figure 7: Defect wafer map of three different XT:17i production systems showing immersion specific defects. immersion defects [#] 2 15 1 5 XT:17i, not linked to track Resist/Topcoat TArF6111/TCX41 Immersion defects: pattern expansion, stains, attenuations 1 2 3 4 5 6 7 wafer 8 9 1 11 12 13 14 15 Figure 8: Through batch defects performance of showing immersion specific defects on XT:17i system. mean 4. IMMERSION CLEANLINESS Whereas the initial defects performance for immersion systems is low, the challenge with immersion is to keep the level low and constant. In contrast with dry exposure systems, the immersion exposure process is very sensitive for contamination because the water is a good transport medium for cross contamination. Especially the edge of the wafer can be a potential source for particle contamination. The strategy for keeping the defects level low is threefold: 1) Use clean wafers and resist process immersion specific Edge Bead Removal (EBR) design, and cleaning of wafer bevel 2) Active removal of particles at wafer edge and automated cleaning during system idle time

3) Periodical cleaning of the immersion hardware (IH and WS) Starting with clean EBR design, we evaluated different EBR layouts and their impact on pattern defects counts. In figure 9 we present the summary results. It is shown that with the wrong EBR layout the resist or the top coat can be flushed away from the wafer, thus causing a huge amount of particle defects. The best EBR strategy is to put the resist and the top coat both on the BARC. This assures the best adherence to the wafer. Possibly HMDS can be used to promote the adherence of the BARC to the wafer as well. EBR-1 EBR-2 EBR-5 EBR-7 5 Defects 5 Defects 5 Defects 5 Defects Edge peeling Figure 9: ed defect results for 4 different EBR strategies, wafer map represents result of 4 stacked wafers In the ASML scanners there is an active bevel edge seal (BES). The BES removes particles on the bevel of the wafer. For comparison reasons we performed an experiment in which bare Si wafers are cycled through an immersion system, once with BES on and once with BES off. The added particles per wafer (top side) are measured with KLA SP2 surface scan system. In figure 1 the results are presented. It is shown that the particle count without active BES increases significantly, up to factor 7. Next to the active BES, automated in-line cleaning (AutoFlush) is implemented. The AutoFlush function becomes active during idle time of the machine and automatically cleans the critical areas of the WS and the IH. In figure 11 we present results of the AutoFlush functionality. It is shown that without AutoFlush the defect can increase with factor 4 or more, whereas with AutoFlush the defect level stays low and more constant. The clean wafer process, optimized EBR, active BES and AutoFlush functionality should keep the defects level constant. However, incidents still may contaminate the scanner significantly. Especially for these incidents, more aggressive cleaning tooling is needed. For both IH and WS megasonic supported cleaning tools are developed. These tools are used on periodical basis.

1% BES OFF Total Defects 75% 5% 25% BES ON BES ON % 1 2 3 4 5 6 7 8 9 1 11 wafer # Figure 1: Impact Bevel Edge Seal (BES) on/off of particles (>12nm) added to bare Si wafer; 8 Defect Counts 7 6 5 4 3 2 1 Auto Flush active Chuck 1 Chuck 2 wafer 1 wafer 2 wafer 3 wafer 4 wfer 5 wafer 6 wafer 7 average wafer 1 wafer 2 wafer 3 wafer 4 wafer 5 average Figure 11: Impact of AutoFlush on defects count. 5. OVERLAY AND FOCUS PERFORMANCE Compared to dry exposure systems, the heat load caused by water evaporation is the main disturbing factor overlay and focus of immersion systems. The heat load may depend on time and position of the wafer. Reduction and control of the evaporation is driving in lowering the immersion overlay penalty. By using a so-called reversed overlay test, the thermal overlay penalty can be quantified. This test exposes 1 wafers with a layer 1 using wafer following order 1, 2, 3,,N, and layer 2 in wafer following order N, N-1,.., 1. Possible time dependent thermal distortions of the wafer are so magnified. From the first generation of immersion tool (AT:115i) up to the fifth generation tool (XT:19i), continuous design improvements have been implemented. In figure 12 the improvements in reversed overlay are shown. It is demonstrated that current performance is well below 3 nm.

In figure 13 we present the results of a 3-day single machine overlay stability test. The results are shown from a XT:17i production system at 55 mm/s and a XT:19i proto system at 6mm/s. It is demonstrated that current long term single machine overlay is below 6-nm. 3 25 115i 125i nm 2 15 14i 1 5 1. 17i 19i Overlay [nm, 99.7%] 8. 6. 4. 2.. 1 2 3 4 5 6 7 8 Wafer X Y Figure 12: (Upper) Reversed overlay for 5 generation immersion tools, (Lower) Reversed overlay results for the latest (5 th generation) immersion system. overlay [nm] 1 8 6 4 2 day 1 day 2 day 3 day 1 day 2 day 3 x y production system XT:17i proto XT:19i Figure 13: Single machine overlay 3-day stability test results for XT:17i production system and XT:19i proto system.

The focus performance of immersion systems is measured with a full wafer focus uniformity test (LVT) and a full wafer focus stability test based on FOCAL. The improvements for the five generations immersion systems are shown in figure 14. It is shown that current focus performance is below 25nm. 6 Focus performance [nm] 5 4 3 2 1 5mm/s 55mm/s 6mm/s 125i,14i 17i 19i Focus Uniformity 3-day stability Figure 14: Focus uniformity (LVT) and 3-day focus stability performance for four generations immersion tools 6. CONCLUSIONS In this paper we discussed the improvements achieved on five generations of TWINSCAN immersion scanners. Between 23 and 27 we introduced systems with NA increasing from.75 up to 1.35. The scan speed was increased from 36 mm/s to 6 mm/s, while defects overlay and focus errors have been reduced dramatically. On defects we discussed the different classes and related root causes for immersion defect formation. Residual water droplets play a role in the defect formation, and the droplet loss can be controlled in the scanner immersion hood (IH). The IH used in ASML scanners actuates the air gap and uses an air curtain to clean-up possible droplets leaving the meniscus. With the latest IH a scan speed of larger than.6 m/s can be obtained, with immersion specific defects lower than 5 defects per wafer over the full lot. To keep the defect level constantly low clean resist processes including EBR and in-situ cleaning is needed. On overlay and focus performance we discussed the improvements on thermal design of the wafer stage. With the latest generation immersion technology, we achieve overlay numbers smaller than 3-nm (chuck dedicated overlay) and smaller than 6-nm dual chuck 3-day overlay. The focus uniformity is improved to <25nm. Overlay and focus performance are maintained even at high scan speeds of 6 mm/s.

ACKNOWLEDGEMENTS The authors like to thank Mireia Blanco Mantecon, Cedric Grouwstra, Marco Vervoort, Suping Wang, Richard Moerman and Herman Boom for their help in generating the defect data. Hans Katouw and Marteijn de Jong are thanked for their help with the overlay data. Martin Hoogendorp, Richard Droste, Christian Wagner, Jos Maas, Jos de Klerk and Hans Jasper are thanked for the support on the XT:17i and XT:19i system data. Gazi Tanriseven, Erik van Brederode are acknowledged for the SEM and KLA support. Special thanks are given to Sokudo and TEL for the cooperation on the immersion track process. REFERENCES Ref [ 1 ] Bob Streefkerk, et.al, Extending Optical Lithography with Immersion, Proc. SPIE 5377, 285 (24) Ref [ 2 ] Jan Mulkens, et.al, ArF Immersion Lithography using TWINSCAN technology, Proc. SPIE 5645, 196 (25) Ref [ 3 ] Hans Jasper, et.al, Immersion lithography with an ultrahigh-na in-line catadioptric lens and a hightransmission flexible polarization illumination system, Proc. SPIE 6154, 61541W (26) Ref [ 4 ] Jos de Klerk, et.al, Performance of a 1.35NA ArF immersion lithography system for 4nm applications XT:19i system Performance, to be published in SPIE 27 Ref [ 5 ] Bob Streefkerk, et.al, A dive into clear water: immersion defect capabilities Proc. SPIE 6154, 6154S (26)