Template fabrication schemes for step and flash imprint lithography

Similar documents
Step and Flash Imprint Lithography for sub-100nm Patterning

Copyright. Stephen Christopher Johnson

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

Development of different copper seed layers with respect to the copper electroplating process

Applied Research for Vacuum Web Coating: What is Coming Next?

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Technical Data Sheet Technisches Datenblatt


In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

Ultra High Barrier Coatings by PECVD

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

NIL defect performance toward High volume mass production

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Understanding Optical Coatings For Military Applications

Hybrid BARC approaches for FEOL and BEOL integration

Large-area patterning by roller-based nanoimprint lithography

ZnO-based Transparent Conductive Oxide Thin Films

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

FIB mask repair technology for EUV mask 1. INTRODUCTION

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Chips Face-up Panelization Approach For Fan-out Packaging

Lecture Day 2 Deposition

Process Flow in Cross Sections

Advanced Sheet-to-Sheet and Roll-to-Roll thin-film processing on ultra-thin flexible glass for flexible electronic devices

Lead-Free Solder Bump Technologies for Flip-Chip Packaging Applications

Surface micromachining and Process flow part 1

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Mold Fabrication for 3D Dual Damascene Imprinting

Thin Films & AR Coated. Viewports. Thin Film & AR Coated. Viewports

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research

5.8 Diaphragm Uniaxial Optical Accelerometer

Via Fill in Small Trenches using Hot Aluminum Process. By Alice Wong

Measurement of thickness of native silicon dioxide with a scanning electron microscope

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

Photolithography I ( Part 2 )

Optical Inspection for the Field of Thin-Film Solar

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

TSV Interposer Process Flow with IME 300mm Facilities

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

Specimen configuration

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

From microelectronics down to nanotechnology.

Surface Analysis of Electrochromic Switchable Mirror Glass Based on Magnesium-Nickel Thin Film in Accelerated Degradation Test

Fabrication Techniques for Thin-Film Silicon Layer Transfer

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

Excimer Laser Annealing of Hydrogen Modulation Doped a-si Film

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Hydrogen silsesquioxaneõnovolak bilayer resist for high aspect ratio nanoscale electron-beam lithography

Sputtered Zinc Oxide Films for Silicon Thin Film Solar Cells: Material Properties and Surface Texture

Optical characterization of an amorphoushydrogenated carbon film and its application in phase modulated diffractive optical elements

Final Year Project Proposal 1

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Thermal Evaporation. Theory

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

Roll-to-roll Technology for Transparent High Barrier Films

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

"ITO Film Trend for Touch Panel Applications"

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

How To Write A Flowchart

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates**

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

Development of low roughness, low resistance bottom electrodes for tunnel junction devices

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

Plasma-Enhanced Chemical Vapor Deposition

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Formation of and Light Emission from Si nanocrystals Embedded in Amorphous Silicon Oxides

Growth Of TiO 2 Films By RF Magnetron Sputtering Studies On The Structural And Optical Properties

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Electrical properties of light-addressed sub-mm electrodes fabricated by use of nanostencil-technology

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

OPTIMIZED SEMI-ADDITIVE PROCESS FOR POLYIMIDE AS DIELECTRIC IN BUILD UP PACKAGES

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Photonic Drying Pulsed Light as a low Temperature Sintering Process

Full Nanomechanical Characterization of Ultra-Thin Films

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Plasma for Underfill Process in Flip Chip Packaging

EFFECT OF HYDROGEN, CERIUM AND TUNGSTEN DOPING ON INDIUM OXIDE THIN FILMS FOR HETEROJUNCTION SOLAR CELLS

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

The effect of ion milling on the morphology of ramp-type Josephson junctions

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Transcription:

Microelectronic Engineering 61 6 (00) 461 467 www.elsevier.com/ locate/ mee Template fabrication schemes for step and flash imprint lithography * E. Ainley, A. Talin, K. Gehoski, J.H. Baker, B.J. Choi, S. Johnson, a a a a a M. Colburn, M. Meissl, S.V. Sreenivasan, J.G. Ekerdt, C.G. Willson a b, b b b T.C. Bailey, D.J. Resnick, D. Mancini, K.J. Nordquist, W.J. Dauksher, b b b b a a Abstract a Texas Materials Institute, University of Texas at Austin, Austin, TX 7871, USA b Physical Sciences Research Laboratories, Motorola Laboratories, MDFL508 100 East Elliot Road, Tempe, AZ 8584, USA Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes, SFIL has the advantage that the template is transparent, thereby facilitating conventional overlay techniques. The purpose of this work is to investigate alternative processes for defining features on an SFIL template. The first method considered using a much thinner (, 0 nm) layer of Cr as a hard mask. Thinner layers still suppress charging during e-beam exposure of the template and have the advantage that CD losses encountered during the pattern transfer through the Cr are minimized. The second fabrication scheme addresses some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide on the glass substrate, charging is suppressed during inspection and the UV characteristics of the final template are affected minimally. Templates have been fabricated using the two methods described above. Features as small as 30 nm have been resolved on the templates. Sub-80 nm features were resolved on the first test wafer printed. 00 Elsevier Science B.V. All rights reserved. Keywords: Imprint lithography; Electron beam lithography; Nanotechnology; Nanolithography 1. Introduction Step and flash imprint lithography (SFIL) is an attractive method for printing sub-100 nm geometries. Relative to other imprinting processes SFIL has the advantage that the template is *Corresponding author. E-mail address: ayvl50@email.sps.mot.com (D.J. Resnick). 0167-9317/ 0/ $ see front matter 00 Elsevier Science B.V. All rights reserved. PII: S0167-9317(0)0046-8

46 T.C. Bailey et al. / Microelectronic Engineering 61 6 (00) 461 467 transparent, thereby facilitating conventional overlay techniques. In addition, the imprint process is performed at low pressures and room temperature, which minimizes magnification and distortion errors [1]. Early template fabrication schemes started with a 6 3 6 3 0.50 conventional photomask plate and used established Cr and phase shift etch processes to define features in the glass substrate []. Although sub-100 nm geometries were demonstrated, critical dimension (CD) losses during the etching of the thick Cr layer etch make the fabrication scheme more difficult for 1 3 templates [3]. It is not unusual, for example to see etch biases as large as 100 nm [4]. The purpose of this work is to investigate alternative processes for defining features on an SFIL template. The first method considered using a much thinner (, 0 nm) layer of Cr as a hard mask. Thinner layers still suppress charging during the e-beam exposure of the template, and have the advantage that CD losses encountered during the pattern transfer through the Cr are minimized. Because the etch selectivity of SiO to Cr is better than 18:1 in a fluorine based process, a sub-0 nm Cr layer is sufficient as a hard mask during the etching of the glass substrate. The second fabrication scheme attempts to address some of the weaknesses associated with a solid glass substrate. Because there is no conductive layer on the final template, SEM and defect inspection are compromised. By incorporating a conductive and transparent layer of indium tin oxide (ITO) on the glass substrate, charging is suppressed during inspection, and the transparent nature of the final template is not lost.. Experimental details In order to quickly establish baseline conditions, all experiments were run on either 100 mm Pyrex 7740 wafers or 150 mm quartz wafers. NEB- negative resist was exposed on a Leica VB6 system operating at 100 kv. The resist process used has been described previously [5]. Cr was deposited in an MRC 603 DC magnetron load-locked sputtering system. A 100-W, 35-mTorr process run in a single pass mode was employed. The first test samples of ITO were supplied by Silicon Quest. Those films were DC sputtered at a power of 1 kw in 100% Ar at a pressure of 8 mtorr. Subsequent development was done internally in a customized RF sputter system operating at a power of 100 W and an Ar/O pressure of 6 mtorr. To further improve optical transmission and conductivity, the films were then annealed at 300 8C for 1 h in air. PECVD oxide was deposited in a Novellus Concept 1 system at a temperature of 50 8C. All pattern transfer experiments were performed in a Unaxis VLR system. A chlorine and oxygen mixture was used to etch the Cr films. A CHF3-based etch was used to pattern transfer either the PECVD oxide or the quartz substrates. CD measurements and top down micrographs were taken with a Hitachi S7800 CD-SEM equipped with a cold cathode source and an automated pattern recognition system. The repeatability of the CD-SEM is 3.5 nm (3s) for line measurements and 1.4 nm (3s) for pitch. Cross-sectioned images were obtained with a Hitachi S4500 SEM operating at 5 kv. 3. Thin Cr template process To minimize CD loss, Cr films layers as thin as 10 nm were deposited on 150 mm quartz wafers. A 180-nm thick NEB- resist was exposed on the VB6 and served as the hard mask for the pattern transfer through the Cr. The Cr was then used as the hard mask for the transfer of the image into the

T.C. Bailey et al. / Microelectronic Engineering 61 6 (00) 461 467 463 Fig. 1. CD vs. exposure dose, before and after pattern transfer. The resist data are resist features on a blanket Cr film, the quartz etch data are patterned resist/ Cr features on the SiO substrate with the pattern transferred into the substrate, and the final data are with resist and Cr removed. For the latter data set it was necessary to coat the sample with a 5-nm blanket Cr film in order to measure feature dimensions with the SEM, hence the 10 nm CD shift. Fig.. The 30-nm trenches (a) and 50-nm lines (b) defined in an SFIL template. These samples were coated with a 5-nm blanket Cr layer for SEM charge dissipation. Note the stitching errors visible in (b). quartz. Following the quartz etch, the remaining resist and Cr were stripped away, thereby producing a final template. Typical etch depths into the quartz were 100 nm. Fig. 1 depicts the change in CD for 100 nm lines as the template was processed. No discernible shift in CD was detected after the etching of both the Cr and quartz. After stripping the resist and Cr, the template was coated with a 5-nm blanket film of Cr (to avoid charging in the SEM) and measured again. A 10-nm shift was observed, and is most likely a consequence of the blanket charge reduction layer. Fig. depicts SEM images of 30 nm trenches and 50 nm lines in the final template. These are the smallest features fabricated on an SFIL template to date. 4. Oxide/ ITO template process Although the thin Cr process successfully maintains CD control, the final template presents several problems. SEM inspection is compromised, since the quartz substrate has no means for dissipating charge. Defect inspection is also difficult, since there is no material-based contrast. It is also very

464 T.C. Bailey et al. / Microelectronic Engineering 61 6 (00) 461 467 Fig. 3. Template fabrication scheme incorporating an ITO layer. The final SFIL template would have a transparent charge dissipation layer embedded in its structure, enabling end-of-line template inspection by CD-SEM. likely that sub-50 nm templates will require electron microscopy-based defect detection schemes, which will also suffer from a lack of a charge dissipation layer [6]. To overcome these issues, we propose that a transparent conductive oxide, such as ITO, be deposited on the quartz. A PECVD oxide can then be deposited on the ITO. This oxide is coated with an e-beam resist, which is patterned and subsequently used as an etch mask for the oxide pattern transfer. Because fluorine forms no volatile products with either indium or tin, the ITO serves as an excellent etch stop. One possible fabrication scheme is depicted in Fig. 3. Another advantage of the proposed process is improved selectivity between oxide and resist. Selectivities of better than 5:1 have been reported [7]. As a result, it should be possible to further thin the starting e-beam resist and obtain even smaller features in both the resist and final template. Cross section images (using the process described above) of dense 70 and 100 nm lines are shown in Fig. 4. 5. ITO properties The transparent conducting oxide, ITO in this case, must satisfy a large number of criteria to be successfully implemented. The material must be transparent at 365 nm in order to polymerize the etch Fig. 4. Cross section SEM images of dense 70 (a) and 100 nm (b) lines. These are SiO structure is analogous to the pictorial representation in Fig. 3. lines on a blanket ITO film the

T.C. Bailey et al. / Microelectronic Engineering 61 6 (00) 461 467 465 Fig. 5. Transmission spectrum for two ITO films. Initial ITO/ SiO substrates were obtained from Silicon Quest, until we could develop a process to deposit ITO at Motorola. This chart shows the superior optical transmission of the Motorola films. barrier layer during the SFIL printing process, but be reflective enough at 780 nm to allow the VB6 laser height sensor system to locate the template surface during template exposure. Resistivity must be low enough to allow e-beam writing and template inspection without charging. Additionally, the ITO must have minimal surface roughness, possess sufficient adhesion to SiO in order to withstand the imprint process, and be compatible with the release layer that is applied to the template prior to imprinting. To expedite process development, ITO samples were initially obtained from Silicon Quest. A parallel effort was also started in-house to develop an ITO deposition process. Although surface roughness is superior for the externally supplied material, there was a dramatic difference in optical transmission between the two films. A comparison of 150 nm films is depicted in Fig. 5. Transmission at 365 nm differs by about a factor of two. Although 80% transmission should be more than sufficient for the imprint process, further improvements are possible by optimizing the ITO thickness and surface characteristics. It is interesting to note that although there is a large disparity in transmission, resistivity of the films are nearly identical ( 5310 ohms/ sq). The ITO films also appear to be sufficiently conductive. Fig. 6 depicts SEM images from an ITO-based template taken after resist development, and after oxide etch and resist strip. No blanket charge dissipation layer was applied prior to obtaining the images. The 50-nm iso-dense features were well-resolved in the resist (Fig. 6a), indicating that no local beam blurring occurred during writing. Fig. 6b depicts 100 nm dense features after oxide etch and resist strip. Line edges are well delineated and the ITO surface texture is easily observed. 6. Imprint process A 48-nm Ultratech stepper has been converted to function as an imprint step and repeat tool, and has been detailed previously [3]. Templates and wafers are loaded and unloaded manually. Printing operations, including x y positioning of the wafer, dispensing etch barrier liquid, z-translation of the template to close the gap between the template and wafer, UV curing of etch barrier, and controlled separation are all automated [8] and controlled with a LabVIEW interface. The system is currently

466 T.C. Bailey et al. / Microelectronic Engineering 61 6 (00) 461 467 Fig. 6. SEM micrographs of an oxide/ ITO template. The 50-nm features after resist development (a), demonstrating the ability for the embedded ITO film to effectively dissipate charge during e-beam exposure. The 100-nm lines/ spaces after oxide etch and resist strip (b), showing that the ITO film eliminates charge build-up and provides sufficient contrast during CD-SEM inspection. Fig. 7. Top-down SEM showing 70 nm images in the etch barrier, after imprinting. The resolution of the SFIL process seems limited by the size of the features on the imprint templates. configured to handle 1 inch 30.5 inch templates. For this study, a 0.7530.75 inch section was cut from a finished wafer template and attached to a 0.5-inch thick by 1 inch blank quartz template with an optical adhesive. The additional handling involved in bonding the wafer pieces to quartz blanks did not allow for good template substrate orientation during imprinting, and thus made imprinting high-resolution features difficult, and imaging the resulting imprint pattern even more difficult. Work is underway to transfer the template fabrication processes to standard 0.5-inch thick substrate material, which will eliminate these difficulties. We believe resolution of that issue will allow imprinting of sub-50 nm features, and will show this work in a later publication. An example of 70 nm features printed on the first test wafer are shown in Fig. 7. The features are well defined and faithfully reproduce the images of the templates. 7. Conclusions Two methods for fabricating an SFIL template have been demonstrated. Both approaches are capable of defining features smaller than 70 nm. The oxide/ ITO method is more appealing, however,

T.C. Bailey et al. / Microelectronic Engineering 61 6 (00) 461 467 467 since it shows promise for eliminating charging effects, rendering the final template suitable for both SEM and defect inspection. Preliminary imprinting yielded features as small as 70 nm, and higher resolution imprints are expected in the near future as template development continues. Future work will attempt to create templates with smaller geometries and improve the ITO characteristics. Acknowledgements The authors gratefully acknowledge Steve Smith, Dolph Rios, Eric Newlin and David Standfast for their process help. We would also like to thank Lyndi Noetzel, Lester Casoose, Kathy Palmer and Diane Convey for their characterization work. Finally, we thank Laura Siragusa and Jim Prendergast for their support. This work was partially funded by DARPA (BAA 01-08/ 01-8964 and MDA97-97- 1-0010) and SRC (96-LC-460). References [1] T. Bailey, B.J. Choi, M. Colburn, M. Meissl, S. Shaya, J.G. Ekerdt, S.V. Sreenivasan, C.G. Willson, J. Vac. Sci. Technol. B 18 (6) (000) 357. [] M. Colburn, S. Johnson, M. Stewart, S. Damle, T. Bailey, B. Choi, M. Wedlake, T. Michaelson, S.V. Sreenivasan, J. Ekerdt, C.G. Willson, Proc. SPIE Emer. Lithogr. Technol. 3 (1999) 379. [3] M. Colburn, T. Bailey, B.J. Choi, J.G. Ekerdt, S.V. Sreenivasan, Solid State Technol. 67 (June) (001) 1. [4] C. Constantine, R. Westerman, J. Plumhoff, Proc. SPIE 3748 (1999) 153. [5] K.H. Smith, J.R. Wasson, P.J.S. Mangat, W.J. Dauksher, D.J. Resnick, J. Vac. Sci. Technol. B. (001) in press. [6] E. Ainley, K. Nordquist, D.J. Resnick, D.W. Carr, R.C. Tiberio, Microelectron. Eng. 46 (1999) 375 378. [7] W.J. Dauksher, D.J. Resnick, S.M. Smith, S.V. Pendharkar, H.G. Tompkins, K.D. Cummings, P.A. Seese, P.J.S. Mangat, J.A. Chan, J. Vac. Sci. Technol. B 15 (6) (1997) 3. [8] B.J. Choi et al., Design of orientation stages for step and flash imprint lithography, in: ASPE Annual Meeting, 1999.