ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Similar documents
Selective Vapor Deposition

A New Liquid Precursor for Pure Ruthenium Depositions. J. Gatineau, C. Dussarrat

Development of different copper seed layers with respect to the copper electroplating process

SLURRY FORMULATION OPTIONS

Thin, Continuous, and Conformal Copper Films by Reduction of Atomic Layer Deposited Copper Nitride**

Post-CMP Cleaning: Interaction between Particles and Surfaces

Lecture Day 2 Deposition

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Atomic Layer Deposition(ALD)

Fundamental Aspects of Atomic Layer Deposition (ALD) and Molecular Layer Deposition (MLD) Micro/Nano-Electromechanical Transducers (imint) 2

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Atomic Layer Deposition

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Thermal Evaporation. Theory

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Atomic Layer Deposition of Ruthenium Thin Films From an Amidinate Precursor

Journal of Chemical and Pharmaceutical Research, 2017, 9(1): Research Article

Adhesion and Electromigration in Cu Interconnect. Jim Lloyd, Michael Lane and Eric Liniger. Yorktown Heights, NY 10598

Anomaly of Film Porosity Dependence on Deposition Rate

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Low temperature deposition of thin passivation layers by plasma ALD

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Fabrication of Ru/Bi 4-x La x Ti 3 O 12 /Ru Ferroelectric Capacitor Structure Using a Ru Film Deposited by Metalorganic Chemical Vapor Deposition

Selective atomic layer deposition of zirconium oxide on copper patterned silicon substrate

Visit

Investigation of Copper Agglomeration at Elevated Temperatures

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

New Materials as an enabler for Advanced Chip Manufacturing

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

Synthesis and Evaluation of Electrocatalysts for Fuel Cells

3D technologies for integration of MEMS

Supporting Information

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Semiconductor Technology

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

TSV Interposer Process Flow with IME 300mm Facilities

Atomic Oxygen-Resistant, Static-Dissipative, Pinhole-Free Coatings for Spacecraft

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Isolation of elements

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Oxide Growth. 1. Introduction

Ultra High Barrier Coatings by PECVD

Relationship between Microstructure and Vacuum Leak Characteristics of SiC Coating Layer

Towards scalable fabrication of high efficiency polymer solar cells

Schottky Tunnel Contacts for Efficient Coupling of Photovoltaics and Catalysts

but T m (Sn0.62Pb0.38) = 183 C, so this is a common soldering alloy.

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

2Dlayer Product Catalog

Enhanced Thermal Conductivity of Polyimide Films via a Hybrid of Micro- and Nano-Sized Boron Nitride

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

Layer Deposition. (Sn,Al)O x Films Grown by Atomic. Jaeyeong Heo, Yiqun Liu, Prasert Sinsermsuksakul, Zhefeng Li, Jaeyeong Heo.

Device Fabrication: Metallization

CSI G SYSTEMS CSI GAS DELIVERY SUPPORT. Chemical Vapor Deposition (CVD)

Microstructure and Vacuum Leak Characteristics of SiC coating Layer by Three Different Deposition Methods

Growth of SiC thin films on graphite for oxidation-protective coating

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Fiji Thermal and Plasma Atomic Layer Deposition System (ALD) By Ultratech (Cambridge)

Thermal Annealing Effects on the Thermoelectric and Optical Properties of SiO 2 /SiO 2 +Au Multilayer Thin Films

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Glass Substrate Magnetic Recording Media

MARORA A Plasma Selective-oxidation Apparatus for Metal-gate Devices

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

Impurity free vacancy disordering of InGaAs quantum dots

Nanoscale Barrier Coating on BOPP Packaging Film by ALD Presented by: Dr. Johanna Lahti Senior Research Fellow Tampere University of Technology

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b

Applications of hydrogen permeable membranes in ammonia synthesis and decomposition

Corrosion Protect DLC Coating on Steel and Hastelloy

HBLED packaging is becoming one of the new, high

Ion-assist applications of broad-beam ion sources

Surface Micromachining

Understanding and Reducing Copper Defects

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

AFM and AUGER investigations ofas-deposited and heat treated copper coatings on glassy carbon surfaces with titanium intermediate layers

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

LOT. Contents. Introduction to Thin Film Technology. Chair of Surface and Materials Technology

Isolation Technology. Dr. Lynn Fuller

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Poly-SiGe MEMS actuators for adaptive optics

Electrical Characteristics of Rare Earth (La, Ce, Pr and Tm) Oxides/Silicates Gate Dielectric

X-ray Photoelectron Spectroscopy

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method

Low contact resistance a-igzo TFT based on. Copper-Molybdenum Source/Drain electrode

Surface micromachining and Process flow part 1

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

In-situ Study of Solid Electrolyte Interphase on Silicon Electrodes using PeakForce Tapping Mode AFM in Glove-box

In situ spectroscopic ellipsometry as a versatile tool to study atomic layer deposition

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

2006 UPDATE METROLOGY

Annealing Behavior of Bi 2 Te 3 Thermoelectric Semiconductor Electrodeposited for Nanowire Applications

Plasma-Enhanced Chemical Vapor Deposition

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD

Novel concept of rechargeable battery using iron oxide nanorods. anode and nickel hydroxide cathode in aqueous electrolyte

Transcription:

ALD and CVD of Copper-Based Metallization for Microelectronic Fabrication Yeung Au, Youbo Lin, Hoon Kim, Zhengwen Li, and Roy G. Gordon Department of Chemistry and Chemical Biology Harvard University

Introduction Periodic improvements in performance of microelectronic devices have been achieved through device-scaling Typical Damascene Process Copper was selected because of its (1) abundance, (2) low resistivity, and (3) better electromigration reliability Damascene process (EP and CMP) is commonly adopted for patterning copper 2

Outline In today s presentation: ALD and CVD of Cu films from a Cu(I) amidinate precursor Formation of Cu seed layer by ALD of Cu and by CVD of CuON Bottom-up filling of CVD-Cu and CuMn alloy in nanoscale features Summary Cross-Section of Microprocessors 3

Copper Precursors 4 Requirements for good ALD Cu precursors: (1) thermally stable, (2) volatile, and (3) minimal contaminations N N Cu N Cu N Advantages of metal amidinates precursors: Bidentate chealting effect enhances thermal stability Tunable reactivity and volatility Minimal carbon and oxygen contamination Copper (I) N,N'-di-secbutylacetamidinate Melting Point: ~75 C Bubbler Temperature: 130 C Vapor Pressure: ~0.25 mbar at 95 C B. S. Lim, A. Rahtu, J. S. Park, and R. G. Gordon, Inorg. Chem., 42 (24), 7951-7958, (2003).

ALD of Copper 5 Copper films could be deposited by ALD using molecular hydrogen as reducing agent ALD System H 2 Oven Heated Chamber Cu(I) amd Pump Copper deposited on ALD-Al 2 O 3 substrate at low temperatures (150-190 C): Z. Li, A. Rahtu, and R. G. Gordon, J. Electrochem. Soc., 153 (11) C787-C794 (2006)

ALD of Copper 6 Growth behavior can be affected by many factors: surface chemistry, precursor exposure, deposition temperature, etc. ALD-Al 2 O 3, ALD-HfO 2, Thermal SiO 2 Initially ~2Å/cycle, ~0.5Å/cycle when surface is fully covered by Cu Ru Substrates 0.11Å/cycle

Copper Seed Layer Using ALD 7 ALD has the ability to grow films conformally and uniformly over high aspect ratio holes and trenches ALD Cu in AR = 35:1 Holes Four-point bend experiment showed high adhesion energies for Cu/Co/WN/SiO 2 In-situ Resistance Measurement ALD Cu on Glass (185 C) Z. Li, R. G. Gordon, D. F. Farmer, Y. Lin, and J. Vlassak, Electrochem. Solid-State Lett., 8 (7) G182-G185 (2005)

Cu Seed Layer Using CVD-CuON and Plasma Reduction 8 Copper seed layers must have conformal step coverage, strong adhesion and smooth surface morphology Ta/TaN Ta underlayer 100nm Ru SiO 2 Si Ru underlayer 1μm 100nm Island growth of CVD-Cu on Ta underlayer Cu has fairly high wettability on Ru, but requires >20nm to form a continuous film due to island growth New approach: Cu precursor + H 2 O Cu 2 O Cu precursor + NH 3 Cu 3 N Cu precursor + H 2 O + NH 3 CuON Low Surface Energy (22-26 mj/m 2 for Cu 2 O and Cu 3 N, compared to 1700-1900 mj/m 2 for Cu) Remote Hydrogen Plasma Reduction near RT Thin (<10 nm), Smooth (RMS ~1 nm), High Density (95%) CVD Cu Seed Layer H. Kim, H. B. Bhandari, S. Xu, and R.G. Gordon, J. Electrochem. Soc., 155 (7) H494-H503 (2008).

Cu Seed Layer Using CVD-CuON and Plasma Reduction 9 CVD System Temperature: 140-220 C Pressure: 8 Torr H 2 NH 3 N 2 Cu(I) amd Oven Heated Chamber H 2 O Pump Plasma System 100% Ar 80% Remote Plasma Generator H 2 60% 40% Pump 20% Temperature: RT - 50 C Reduction Time: 30-180s 0% 140 180 220 Composition of CVD-CuON Films (H 2 O:NH 3 =30:10) N O Cu

Cu Seed Layer Using CVD-CuON and Plasma Reduction 10 Surface Morphology of 20nm of CVD-CuON Films (H 2 O:NH 3 =30:10) Step Coverage in High AR Holes (H 2 O:NH 3 =30:10, 140 C) 140 C, 0.64 nm 160 C, 0.54 nm 180 C, 0.72 nm 220 C, 1.04 nm 100 nm

Filling Narrow Features with CVD of Copper 11 Conventional techniques lead to formation of voids and seams in very narrow features Iodine is a catalytic surfactant that promotes smoother morphology and higher deposit rate Bottom-up filling of sub-micrometer features could be achieved by CVD This process requires a conformal Cu seed layer on top of the diffusion barrier and adhesion layer E. S. Hwang and J. Lee, Chem. Mater.,12, 2076 (2000). K. Shim, O. Kwon, H. Park, W. Koh, and S. Kang, J. Electrochem. Soc., 149 (2) G109-G113 (2002).

Surfactant Catalyzed CVD Cu and CuMn in Narrow Trenches 12 Motivation Key Points Capping Layer Conformally deposited manganese nitride serves as a barrier/adhesion layer Iodine acts as a surfactant catalyst to promote Cu and Mn growth Cu/Mn Void-free, bottom-up filling of Cu or Cu-Mn alloy in narrow trenches with AR up to at least 5:1 Dielectrics Mn diffuses out from Cu during post-annealing to further improves adhesion and barrier properties at Cu/insulator interface Y. Au, Y. Lin and R. G. Gordon, J. Electrochem. Soc., 158 (5) D248-D253 (2011).

Chemical Vapor Deposition of Copper CVD System Temperature 130 C for Mn 4 N 180 C for Cu and CuMn Pressure: 5 Torr H 2 NH 3 N 2 Oven Heated Chamber CH 3 CH 2 I Precursors N N Mn N N N N Cu N Cu N Bis (N,N'- diisopropylpentylamidinato) manganese(ii) Melting Point: ~60 C Bubbler Temperature: 90 C Vapor Pressure: ~0.1 mbar at 90 C Copper (I) N,N'-di-secbutylacetamidinate Melting Point: ~75 C Bubbler Temperature: 130 C Vapor Pressure: ~0.25 mbar at 95 C 13 Pump

CVD-Mn 4 N Barrier/Adhesion Layer CVD-Mn 4 N (ε phase, FCC structure) can be prepared by reacting manganese amidinate precursors with NH 3 CVD-Mn 4 N Dielectrics Excellent step coverage holes with AR = 52:1 RMS roughness = 0.97 nm for a 13.5 nm film Mn 4 N layer as thin as 2.5 nm (1) shows barrier properties against Cu diffusion, (2) significantly improve adhesion (debonding energy = 6.5 J/m 2 ) between Cu and SiO 2 Release of iodine and catalytic effects are observed on Mn 4 N underlayer 14

Surfactant Catalyzed Bottom-up Filling of CVD-Cu CVD-Mn 4 N Deposition Iodine Exposure CVD-Cu Deposition Cu Dielectrics 26 nm Structure 18 nm Structure With CVD-Mn 4 N liner layer and iodine catalyst, trenches with width 20 nm and aspect ratio over 5:1 can be completely filled with CVD-Cu 15

Surfactant Catalyzed Bottom-up Filling of CVD-CuMn Alloy Cu-Mn alloy can be formed by (1) alternating CVD-Cu and Mn or (2) co-depositing Cu and Mn CVD-Mn 4 N Deposition Iodine Exposure CVD-CuMn Alloy Capping Layer Cu-Mn Dielectrics Trenches with width 30 nm can be completely filled with CuMn alloy Manganese concentration: 0.5-2.0 atomic % 16

Enhancement by Diffusion of Mn from Cu to Interface 17 Insulators encourages diffusion of Mn through Cu grain boundaries to interface Mn improves both adhesion and barrier properties at the interface 16 14 12 10 8 6 4 2 Si3N4/Cu SiO2/Cu Adhesion Energy (J/m 2 ) 0 0 0.1 0.2 0.3 0.4 0.5 0.6 0.7 Mn/Si Ratio from XPS Integration Y. Au, Y. Lin, H. Kim, E. Beh, Y. Liu and R. G. Gordon, J. Electrochem. Soc., 157 (6) D341-D345 (2010).

Summary Copper can be deposited by ALD or CVD using a Cu(I) amidinate precursor Conformal and uniform seed layers can be prepared by ALD-Cu or by CVD-CuON followed by remote hydrogen plasma reduction Nanoscale trenches can be superconformally filled by CVD-Cu and CVD-CuMn alloy with an iodine surfactant on Mn 4 N liner layer Manganese in Cu-Mn alloy diffuses out to strengthen the interface between Cu and insulators without increasing the resistivity of Cu Manganese silicate (MnSi x O y ) interfacial layer shows excellent barrier properties against Cu diffusion and protects Cu from corrosion by H 2 O and O 2 18

Acknowledgements 19 Facilities at Harvard s Center for Nanoscale Systems (CNS), a member of the National Nanotechnology Infrastructure Network (NNIN), supported by the National Science Foundation Precursors: Dow Chemical Company Substrates and Analyses: Applied Materials, IMEC and IBM Members of Gordon Group