Module 12: Nano Imprint Lithography. Lecture 16: Nano Imprint Lithography 1

Similar documents
Processing guidelines

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

D Eggenstein-Leopoldshafen, Germany 2 University of Karlsruhe (TH), Institute for Microstructure Technology (IMT),

Large-area patterning by roller-based nanoimprint lithography

Ceramic and glass technology

Photolithography I ( Part 2 )

BONDING OF MULTIPLE WAFERS FOR HIGH THROUGHPUT LED PRODUCTION. S. Sood and A. Wong

Vacuum casting, a new answer for manufacturing biomicrosystems

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

The Effect of Hydrophobic Patterning on Micromolding of Aqueous-Derived Silk Structures

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Thermal Management of LEDs: Looking Beyond Thermal Conductivity Values

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Plasma for Underfill Process in Flip Chip Packaging

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Delamination of Thin Films Using Laser Induced Stress Waves

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Self Healing Ceramic (Surfaces)

Chapter 8 Deformation and Strengthening Mechanisms. Question: Which of the following is the slip system for the simple cubic crystal structure?

Fabrication Technology

Ceramic and glass technology

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Micro Injection Molding of Micro Fluidic Platform

Low Stress Silicone Encapsulant Enables Distributed Power Generation

Optimizing the Assembly Process with Cure-On-Demand UV/Visible Light-Curable Adhesives

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

High-Speed Roll-to-Roll Nanoimprint Lithography on Flexible Plastic Substrates**

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Exploiting the Synergy of Fluorine and Silicone for Stain Resistant and Easy-Clean Coatings.

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

The formation of oscillation marks in continuous casting of steel

NANO SCRATCH TESTING OF THIN FILM ON GLASS SUBSTRATE

BOROFLOAT & Glass Wafers: A Union of Inspiration & Quality

Enhancing the Performance & Reliability of Your Electronics Designs. Innovative Thermally Conductive Silicone Solutions IMAGINE

Soft-lithography for Preparing Patterned Liquid Crystal Orientations

12.0 Materials for Missile, Space, and Launch Systems

Gaetano L Episcopo. Introduction to MEMS

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

STUDY OF POLYMER FLOW BEHAVIOR IN CAVITY FILLING OF ALIGNMENT STRUCTURES IN MICRO HOT EMBOSSING THESIS

Surface micromachining and Process flow part 1

YIELD & TENSILE STRENGTH OF STEEL & ALUMINIUM USING MICROINDENTATION

EXPLORING VACUUM CASTING TECHNIQUES FOR MICRON AND SUBMICRON FEATURES. Campus Ker Lann, av Robert Schumann Bruz, France

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Photonic Drying Pulsed Light as a low Temperature Sintering Process

A MODEL FOR RESIDUAL STRESS AND PART WARPAGE PREDICTION IN MATERIAL EXTRUSION WITH APPLICATION TO POLYPROPYLENE. Atlanta, GA 30332

Superionic Solid State Stamping (S4)

Surface Micromachining

Tribology Module4: Lubricants & Lubrication

1. Introduction. What is implantation? Advantages

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

New Evaluation Methods for Pressure Sensitive Adhesive (PSA) Tapes Used in the Semiconductor Industry

PDF created with FinePrint pdffactory Pro trial version

THE PATH TO VOLUME PRODUCTION FOR CPV OPTICS

Behaviors of QFN Packages on a Leadframe Strip

ABSTRACT: INTRODUCTION:

Nanocoating close to the market

OPTIMISED CURING OF SILVER INK JET BASED PRINTED TRACES

Fabrication of a Crossbar Structure at 50 nm Half-pitch by UV-based Nanoimprint Lithography

Genesis of Friction between Macroscale contacts. Reference: Chapter 3 of the text books

A Study on Injection Moulding of Two Different Pottery Bodies

Mater. Res. Soc. Symp. Proc. Vol Materials Research Society

Multiphoton lithography based 3D micro/nano printing Dr Qin Hu

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

Final Year Project Proposal 1

Deep Lithography for Microfabrication

Engineered Adhesive Solutions

Vertically aligned Ni magnetic nanowires fabricated by diblock-copolymer-directed Al thin film anodization

High Temperature Resistant Adhesives Beat the Heat

Positive Photoresists

An Advanced Reliability Improvement and Failure Analysis Approach to Thermal Stress Issues in IC Packages

Process considerations to achieve optimum weld strengths of Wood Plastics Composites using advanced Vibration Welding technology

NPL Manual. Modelling Creep in Toughened Epoxy Adhesives

EE C245 ME C218 Introduction to MEMS Design Fall 2011

EXPERIMENTAL INVESTIGATION ON COOLING RATE FOR CENTRIFUGAL CASTING Kirti Kanaujiya, Yugesh Mani Tiwari Department of Mechanical Engineering

NORTH AMERICA EPOXY CURING AGENTS EPOXY

Enabling Technology in Thin Wafer Dicing

PULSED LASER WELDING

Extrusion. Key Issues to Address. Lecture 2. Process. Process Variants. Process Analysis. Problem Solving

Chapter 14 Polymers CHAPTER 7 POLYMERIC MATERIALS. Ancient Polymer History. Rubber balls used by Incas Noah used pitch (a natural polymer) for the ark

Syl-Off Solventless, Platinum-Catalyzed Vinyl Silicone Release Coatings from Dow Corning

HIGH-THROUGHPUT, CONTINUOUS NANOPATTERNING TECHNOLOGIES FOR DISPLAY AND ENERGY APPLICATIONS

Thermoset Solutions for Fuel Cell Seals

Supporting informations

PS-101 Preparing for Your Success

Optimizing spray coater process parameters

3. Mechanical Properties of Materials

Performance and productivity.

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Module 3 Machinability. Version 2 ME IIT, Kharagpur

Introduction to Dynamic Mechanical Testing for Rubbers and Elastomers. Mackenzie Geiger Applications Scientist September 6, 2017

Table of Contents. Robert A. Malloy. Plastic Part Design for Injection Molding. An Introduction ISBN:

Laser Welding of Engineering Plastics

3 Thermally Conductive Tapes

DIRECT LASER SINTERING OF BOROSILICATE GLASS

Module 3 Selection of Manufacturing Processes. IIT Bombay

Transcription:

Module 12: Nano Imprint Lithography Lecture 16: Nano Imprint Lithography 1 1

In the previous five lectures (Lectures 11 to 15) we have seen how Photolithography can be used for patterning, particularly relevant to the micro electronics industry. However, as it has been highlighted in the introduction itself that apart from silicon based microelectronics, various other branches of science and engineering like microfluidics, smart and super adhesives, data storage media, confined chemistry applications, lab on a chip devices, various nano-biotechnology applications like single molecule enzymology, structural color, fabrication of super hydrophobic, super wetting, self-cleaning, gradient topography surfaces etc. also extensively require meso patterned surfaces. Most of the above mentioned bulk-nano applications as well as fabrication of various organic or plastic electronic devices like hybrid organic electronic devices, thin film transistors (TFT), plastic solar cells) etc. rely on surface patterning techniques that can generate defect free surface structures on various types of polymers with sub-micron and meso scale feature resolution. While the dimensional tolerance of the patterns in most of these applications is not as stringent as that necessary in the microelectronic industry, the key requirement here is to have rapid, massively parallel processes by which the patterns spread over large areas (cm 2 ), can be generated in bulk quantities at relatively lower cost. You must have noted by now that the utility of Photolithography is further limited by its material specific nature, as it can directly pattern only a Photoresist film, and therefore not useful for patterning other classes of materials and polymers used for most of the above said applications. Therefore, it was realized in early 90 s itself that non Photolithographic, polymer specific patterning techniques are necessary to cater to the demands of the different bulk nano patterning applications. At this time, two separate groups: Stephen Chou at Minnesota (later at Princeton) and George M Whitesides at Harvard almost simultaneously revolutionized the field of patterning by developing various polymer specific patterning methods. Chou developed imprinting based patterning methods, which eventually lead to the development of widely utilized Nano Imprint Lithography (NIL). On the other hand, Whiteside s contribution in the field has also been immense with development of 2

various techniques that are capable of producing meso scale topographic as well as chemical patterns, which are broadly classified as Soft Lithography (SL) methods. The scientific impact of these innovations related to SL can be gauged from the mere fact that most of the research articles describing the developments lead to series publication in top scientific journals, including Nature and Science! These methods are easy, and can be implemented without major infrastructure and instrumentation, though commercial instruments based on the SL techniques are also available. With time, numerous variants of the different SL techniques have evolved which have extended the applicability of these methods for patterning of wide range of materials including colloids, gels, ceramics and even metals. Subsequent development saw that many of the recent techniques can also be used for patterning flexible materials, foils and films coated on non-planar surfaces. In this lecture we first discuss Nano Imprint Lithography and its variants. 16.1 Soft Lithography Techniques Broadly, the polymer specific, non photolithographic patterning techniques are clubbed as Soft Lithography group of methods. There is a slight bit of controversy related to the use of the word soft, which eventually leads to another controversial question of whether the Nano Imprint Lithography group of techniques can be included as Soft lithography methods or not! The use of the word Soft Lithography was pioneered by George Whitesides to broadly classify the techniques invented by him, all of which incidentally used a flexible and soft crosslinked PDMS (Sylgard 184) stamp. You will soon observe that NIL, in its classical form does not use a flexible stamp, and requires a rigid Silicon Mold. Thus, if the classification of the techniques is doen exclusively on the nature of the stamp (rigid or flexible!), then probably NIL group of methods cannot be considered as Soft Lithography techniques. This is in fact is the convention and many researchers classify NIL as an Embossing technique. However, if one considers that all these techniques are aimed at patterning Soft material like polymers, then one may consider that NIL group of methods can also be included in the list of SL techniques. However, this is a minor 3

controversy and I will leave the decision open to the students. We will learn various interesting aspects of both type of techniques. In this text, I will consider NIL also as a SL technique. Figure 16.1: Classification of different Soft Lithography Techniques The Soft Lithography techniques can be classified based on various criterions. For example, it can be based on the type of patterns generated either be topographic structures or chemical structures (ordered array of different wettability regimes on the surface). The classification can also be based on the mechanism of pattern replications: 1) Imprinting, where the pattern replication is achieved by a visco plastic deformation of a molten polymer layer subject to externally applied forces, examples of which include the NIL, Hot Embossing and associated group of methods; and 2) Capillary flow driven pattern replication inside a confined channel, examples of which include methods like Capillary Force Lithography (CFL), Micro Molding in Capillaries (MIMIC), Solvent Assisted Micro Molding (SAMIM) etc. As I have already pointed out, classification is also possible based on the nature of stamp (rigid or flexible) used. The schematic in figure 1 gives an idea about the different methods and their classifications. It can be seen that most SL techniques use a soft, flexible stamp. It is typically made of cross linked polydimethylsiloxane (PDMS). PDMS is an inorganic polymer which has a unique combination of properties resulting from an inorganic siloxane backbone, to which the organic methyl groups 4

are attached. This results in a very low glass transition temperature (close to 60 O C) and low viscosity at room temperature. Further, the material can conveniently be transformed in to an elastomeric solid by thermal cross-linking. The commercially available brand of Sylgard (Sylgard 184 and other variants) manufactured by Dow Corning, USA is widely used for making the stamps. However, other elastomeric materials like polyurethanes, polyimides, and crosslinked Novolac resins (a phenol formaldehyde polymer) have also been used as soft lithography stamps or molds. The choice of crosslinked PDMS or Sylgard 184 as a preferred material for the fabrication of SL stamps is attributed to several other factors: 1) ability of a flexible Sylgard 184 stamp to conform to a surface over relatively large areas, including over non planar areas, due to low viscosity and high fluidity; 2) the elasticity of the material allows it to be peeled off after being cross linked and the desired shape has been transferred onto it; 3) low surface energy of Sylgard 184 favors easy detachment; 4) Sylgard 184 is chemically inert and therefore can be used for patterning wide variety of polymers; 5) Sylgard 184 is optically transparent down to ~ 300 nm, which allows the stamps to be used for patterning of UV curable polymers; 6) Sylgard 184 as a material is durable, allowing reusability of the same stamp several times; 7) The surface properties of a cross linked Sylgard 184 can be easily modified by various techniques, like UV Ozone exposure or Plasma Oxidation followed by attachment of surface active monolayers (SAM). We now present the different pattern replication methods, in somewhat details. 16.2 Nano Imprint Lithography Nano Imprint Lithography (NIL) was developed by Stephen Chou and colleagues at the University of Minnesota, in mid 90 s. This was the first technique that overcame the diffraction limitation of Photolithography and could demonstrate, way back in 1997 itself that structures with lateral resolution < 10 nm can be achieved! The resolution limit further improved to 5 nm in 2004. NIL is an extremely simple concept, where a rigid mold with the relief structures is 5

pressed against a thermally softened polymer layer. In NIL, and external force is applied to achieve the pattern replication. In NIL, the pattern replication is achieved based on a visco plastic deformation of the molten polymer layer under an applied external force. Once the pattern replication is complete, the polymer layer is cooled below the glass transition temperature to solidify or freeze the structures. It should be noted that unlike the other Soft Lithography techniques which uses as flexible stamp, NIL uses a rigid mold, the use of which is necessary for withstanding the applied external pressure. Figure16.2: Key steps of Nano Imprint Lithography (NIL) The mould in the nanoimprint technique plays the same effective role as that of the photomask in photolithography. The hard features on NIL moulds templates allow replication of features with lateral resolutions below 10 nm, which is not possible to achieve using a soft stamp. In most cases, the hard mould is made of Si or SiO 2, though it is possible to use a metallic mold as well. Issues that influence the selection of mould materials include the hardness, the compatibility with traditional micro-fabrication processing and the thermal expansion coefficient etc. It is desired that there is not a huge mismatch in the coefficient of thermal expansion between the polymer and the mold material. 6

Figure 16.2 shows the key steps associated with Nano Imprint Lithography. Molding techniques based on imprint processes utilize the difference between the mechanical properties of the structured mold and the molding material. In principle, the viscous molding material is shaped by pressing the rigid stamp into it. In order to achieve reasonable degree of pattern replication quickly, it is normally carried out under pressure, with a molding materials having relatively low viscosity.in the classical NIL, the polymer film and mold are both heated initially. The temperature for imprinting depends on the glass transition temperature (T G ) of the polymer. For example, if PMMA with T G = 105 C is used as the resist layer (polymer film), an imprinting temperature of ~ 200 C is generally used. Once the mold and the film are both heated, then the mold is compressed against the polymer film, with evenly distributed external force. The typical magnitude of the applied pressure varies between 80 130 atm. Under the applied external pressure, a perfect negative replica of the mold patterns form on the film surface. A lower pressure fails to fully replicate the patterns on the surface of the film. In contrast, a higher pressure may result in a damage of the mold. The temperature of the assembly is then brought down to room temperature which allows freezing of the structures. The mold is then withdrawn, releasing the patterns on the film surface. One critical issue in NIL is the mold removal, as it can often be difficult to release a rigid mold, which sticks with the polymer film during the patterning step. To facilitate the detachment of the mold, the molds are generally coated with low surface energy mold release agents that tend to reduce adhesion between the polymer and mold. This important issue is addressed in somewhat details later. Mold breakage is highly undesirable as it completely spoils the structures formed by NIL. Another key problem of NIL is that the patterns form with a thin residual layer of polymeric material. However, in many cases this layer is intentionally left underneath the mold protrusions, which acts as a soft cushioning layer that prevents direct impact of the hard mold on the substrate and effectively protects the mold features, as a direct contact between the rigid substrate and the rigid mold can often lead to structural damage of the mold. For most applications, this residual 7

layer needs to be removed by an anisotropic O 2 plasma-etching process to complete the pattern definition. NIL as a technique is often referred to as hot embossing since the process involves heating the polymer above its glass-transition temperature. An important criterion for a good NIL resist is that the imprinted pattern should maintain its mechanical integrity during mould substrate separation as well as any subsequent pattern transfer steps. Material requirement of NIL necessitates that the film to be patterned should exhibit very low thermal and pressure shrinkage within the range of operating conditions. Currently, significant research is going on for developing new materials and polymer formulations that are ideally suitable for NIL applications. Till date, mostly commercially available thermo plastic materials like poly(methylmethacrylate) (PMMA), polystyrene (PS) etc. have been traditionally used as NIL resists. Historically, these materials were not developed for NIL or patterning applications and are therefore not optimized for the specific requirement of NIL process. One critical requirement that would make any material a preferred candidate for NIL is the ability of the polymer to detach neatly from the mold, during de-molding process. At the same time it should not hamper either mold filling or the adhesion of the mold to the substrate. None of the commercially available regular polymers can satisfy these seemingly contradictory requirements. In typical applications, it has already been mentioned that the mould surface is treated with a low surface energy surfactant for easy release. However, when imprinting high density or high aspect ratio patterns, the imprinted polymer tends to adhere to the mould resulting in pattern defects. Block copolymers materials which exhibit dual surface properties through structural phase segregation is being exploited to solve this problem. In addition, a higher dry etching resistance is also desirable if the imprinted polymer pattern is to be used as a dry etching mask for subsequent processing. Addition of Si-containing material in the polymer formulation could is an effective method in this regard. It is important to understand that the area is completely open and significant research and development is currently underway in the field. The stamp however is 8

prone to rapid damage due to extensive thermal and pressure cycling and unfortunately requires more frequent replacement than most other Soft Lithography methods. NIL has also been successfully utilized for patterning metal thin films, using Excimer laser for rapid melting and imprinting. Figure 16.3: Schematic representation of stress accumulation during NIL 16.3 Squeeze Flow and Hydrodynamics during Pattern Replication by NIL Here we look at the hydrodynamics associated with NIL, which is simple, yet fascinating and is also responsible for accumulation of significant extent of residual stresses, which might eventually affect the long term stability of the patterns created by NIL. As can be seen in figure 16.3, during embossing the linear downward movement of a stamp engenders a complex squeeze flow of the molten viscous polymer in the lateral as well as upward direction. During pattern replication, the two surfaces of the stamp and the substrate come entirely into contact with each other until complete mold filling results. The displacement of the molten polymer in NIL takes place by both applied imprinting forces and capillary forces. The relative dominance of the two phenomena depends largely on the precise condition prevailing on the sample and depends on host of parameters like wettability of the stamp by the molten polymer, viscosity etc. A simple model for the squeezed polymer flow underneath the stamp protrusion is obtained by treating the polymer as an incompressible liquid of constant viscosity, and solving the Navier Stokes equation with no slip boundary conditions at the stamp and substrate surfaces. For a line- 9

shaped stamp protrusions and cavities, the following expression is obtained, which is known as the Stefan equation: (16.1) Where F is the constant imprint force, h 0 is the initial film thickness, h(t) is the thickness of the film after imprinting for a duration t, s is the line width of each mold stripe, L is length of each stripe and η 0 is the viscosity of the polymer. Inserting h F = h(t F ) [Residual film thickness, t F is the imprinting time], we obtain: (16.2) Further, substituting p = F/ sl, which is the constant pressure under each stamp protrusion, we obtain a more useful form of the above equation which gives the time of imprinting as: (16.3) From the Stefan equation (16.3) it can be clearly understood that for the same pressure, a stamp with narrower features will sink faster than a stamp with larger features. Thus, based on the stamp geometry and the viscosity of the polymer melt, the imprinting time can be suitably optimized. 16.4 Accumulation of Residual Stresses in NIL As we understand now, during NIL, the pattern is transferred to the heated polymer film by mechanically forcing or squeezing the polymer to flow into the mold at elevated temperatures and pressures. When the mold along with the patterned film is cooled below the glass transition temperature (T G ) of the polymer, the stresses get accumulated within the imprinted patterns. These stresses tend to get released over prolonged periods of time, which may lead to loss of fidelity of the imprinted patterns. 10

The accumulation of stress during NIL is attributed to several factors. Firstly, due to no slip boundary condition on the surface of the mold, the polymer layer right adjacent to the stamp moves downwards, in the direction of motion of the stamp. However, as the film has finite thickness, the polymer layer gets squeezed and starts flowing laterally towards the zones where the effect of squeezing due to external pressure is less, which is below the valleys of the mold. As two streams of polymer flow into these zones from opposite side, the resulting motion of the polymer stream now starts flowing upwards, for filling up the mold. Thus there is a significant velocity gradient within each mold groove where the polymer rises up during mold filling. The velocity of the fluid in this regime changes sign from negative (downward) to positive. We know that the expression of shear stress is τ = μ (δv/δx), for a Newtonian liquid, where μ is the viscosity. The large velocity gradient attributes to a higher value of the second term in the expression, that is (δv/δx). Further, as the polymer is cooled down once the pattern replication is complete it (cooling) also results in progressive increase of μ, which further increases the value of τ by several orders once the temperature drops below the T G. Thus, in the expression for shear stress both the terms are high and contribute to a higher magnitude of the shear stress in the system. As the polymer freezes below T G, these stresses get accumulated within the imprinted patterns. As already mentioned, the accumulated stresses may gradually release over a prolonged period of time, resulting in reduction of feature height and loss of fidelity of the structures. Further, the viscosity also depends strongly on the polymer s molecular weight, and therefore the problem of stress accumulation is higher in higher molecular weight polymers. However, it can often be difficult to use a low molecular weight polymer for patterning, as it may have poor structural integrity and might dewet during the thermal cycling (dewetting will be discussed later, in details). Also, the lack of entanglement of the molecules in low molecular weight polymers may lead to brittle behavior of the imprinted patterns, which may fracture during the mold separation stage. Thus, the choice of both T G and the molecular weight is important in 11

maintaining structural stability of the imprinted patterns. The stress build-up during the thermal cycling of the NIL process can also affect the pattern integrity during mould separation. 16.5 Low Pressure and Low Temperature Variants of NIL The problem of residual stress accumulation in the polymer layer be overcome to a certain extend by performing the pattern replication at temperatures closer to the glass transition temperature of the polymer. A low pressure version of NIL (LP NIL, operating pressure 2 3 bars) was developed which uses a flexible stamp made of fluoropolymer material. The reduced pressure significantly eliminates the problem of substrate or mold fracture that is associated with high pressure NIL. One may argue that this method, to a large extend resembles Pressure Assisted Capillary Force Lithography (discusses later). Solvent vapor assisted NIL, which eliminates the thermal annealing step completely and relies on softening of the polymer due to inter penetration of the solvent molecules into the polymer matrix. This action reduces the cohesion between the polymer molecules which in turn results in lowering of the viscosity of the film to be patterned. Once pattern replication is complete, the solvent molecules were evaporated out of the film to freeze the structures. On the other hand, Room Temperature Imprint Lithography (RTIL) is also possible by applying a higher pressure with a low molecular weight polymer. In this case, the pattern replication involves plastic flow of polymer under compressive stress conditions. As the mold is placed on the polymer film and subject to external pressure, the polymer which is in direct contact with the mold initially deforms elastically. With higher penetration, the polymer under the contact zones yields and starts flowing plastically flows in outward laterally direction in a manner similar to that shown in figure 16.1. This in turn results in an upward movement of the material into the void between two adjacent contact zones. The other major derivative of NIL, which came up primarily to eliminate the associated problems of thermal cycling and stress build up is UV assisted NIL, which is discussed in the subsequent lecture. 12

Reference: 1. L. J. Guo, Nanoimprint Lithography: Methods and Material Requirements, Advanced Materials 19, 495 513, 2007. 2. S. H. Ahn and L. J. Guo, Roll to Roll Nanoimprint Lithography and Dynamic Nano- Inscription, Chapter 2, pages 27 42, in Generating Micro and Nanopatterns in Polymeric Materials, edited by A. del Campo and E. Artz, WILEY VCH Verlag & Co., Weinheim, Germany, 2011. ISBN: 978-3-527-32508-5. 3. H. Schift and A. Kristensen, Nanoimprint Lithography, Chapter 8, pages 239 278, in Springer Handbook of Nanotechnology, edited by B. Bhushan, Springer, ISBN: 978-3- 540-29855-7 (Print) 978-3-540-29857-1 (Online). 4. R. Mukherjee, Soft Lithography and Beyond: Some Recent Developments in Meso Patterning, Chapter 4, pages 111 148, in Microfluidics and Microscale Transport Process, edited by S. Chakraborty, CRC Press, 2013. ISBN: 978-1-4398-9924-3. 13