Metal Oxide EUV Photoresists for N7 Relevant Patterns

Similar documents
2006 UPDATE METROLOGY

Next Generation Source Power Requirements. Erik R. Hosler

Technical Data Sheet Technisches Datenblatt

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

Photolithography I ( Part 2 )

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Hybrid BARC approaches for FEOL and BEOL integration

AZ P4620 Photoresist Data Package

If it moves, chop it in half, then simulate it

Resist Characterization for EUV- Lithography

FIB mask repair technology for EUV mask 1. INTRODUCTION

Resolution, LER, and Sensitivity Limitations of Photoresist

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Integrated Process Technology Development for the sub 7nm Era

INTERVIA BPP-10 Photoresist

Advanced developer-soluble gap-fill materials and applications

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

The image part with relationship ID rid4 was not found in the file. Welcome

NIL defect performance toward High volume mass production

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

White Paper: Pixelligent Internal Light Extraction Layer for OLED Lighting

PerformanceProfile. Initial Tests Demonstrate Improved Yield. Introduction

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Process Flow in Cross Sections

Filtration on block copolymer solution used in directed self assembly lithography

IISW-2009 BSI technical challenges

Doris Ehrt and Doris Möncke. Friedrich Schiller University of Jena, Otto-Schott-Institut, Fraunhoferstr. 6, D Jena, Germany,

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

DuPont MX5000 Series

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

micro resist technology

Solvent pre-wetting as an effective start-up method for point-of-use filter

1500 Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Positive Tone Photoresists APPLICATION TYPICAL PROCESS. SPIN CURVES (150mm wafers)

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Laser Produced Plasma for Production EUV Lithography

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Blisters formation mechanism during High Dose Implanted Resist Stripping

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

Modeling for DFM / DFY

New Materials as an enabler for Advanced Chip Manufacturing

EFFICIENCY AND PRODUCTIVITY INCREASE OF SOLAR-CELLS AND -MODULES BY INNOVATIVE LASER APPROACHES

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Electroplating. Copyright 2016 Industrial Metallurgists, LLC

BUEE 2013 AMC countermeasure in future high tech Fabs

Supporting Information

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Processing guidelines. Negative Tone Photoresist Series ma-n 2400

ZERO DEFECTS Entegris Newsletter

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

TSV Interposer Process Flow with IME 300mm Facilities

Film loss-free cleaning chemicals for EUV mask lifetime elongation developed through combinatorial chemical screening

200mm Next Generation MEMS Technology update. Florent Ducrot

Trace Moisture Contamination in Ultra-High Purity Phosphine: Techniques for Measurement and Control

Isolation of elements

Removal of JSR THB-S375N Photoresist Using a Photoresist Stripper with an Improved EHS Profile Abstract Key words

Imaging capabilities of resist in deep ultraviolet liquid immersion interferometric lithography

Evaluation of Cu Pillar Chemistries

Defect mitigation and root cause studies in IMEC's 4 nm halfpitch chemo-epitaxy DSA flow

Understanding and Reducing Copper Defects

Total Cost of Operations TCO

Monitoring, Detection and Identification of Metallic Contaminationn in a Production

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Laser Spike Annealing for sub-20nm Logic Devices

Outline CLINICALLY RELEVANT SPECIFICATIONS. ISPE Process Validation Conference September 2017 Bethesda, MD

NEW HEAT TREATMENT FOR Al HIGH PRESSURE DIE-CASTINGS

Integration of Block-Copolymer with Nano- Imprint Lithography: Pushing the Boundaries of Emerging Nano-Patterning Technology

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

SLURRY FORMULATION OPTIONS

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Enabling Materials Technology for Multi-Die Integration

Micro-fabrication and High-productivity Etching System for 65-nm Node and Beyond

Recent and future changes in high surface ozone events over the Eastern United States

Status Report: Optimization and Layout Design of AGIPD Sensor

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Lithography Tool Package

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

Chemical quality of household water in Bangladesh

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

EUV Source Supplier Update, Gigaphoton

Customer Support: Leveraging Value of Ownership

EUVL Mask Defect Strategy

Large-area patterning by roller-based nanoimprint lithography

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

At wavelength characterization of EUV and soft X-ray gratings

FOR SEMICONDUCTORS 2005 EDITION

3. Close the bottom end of the column and apply the packing device on top. Pump water through the upper adaptor to remove air.

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Contamination control in EUV exposure tools

Balancing the time, cost and risk of drug development. Christina Gustafsson, PhD Pharm, Formulation Scientist at Pharmaceutical Development, APL

WF6317. A superactive low-volatile/high heat-resistant water-soluble flux for ball soldering

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Composition Analysis of Animal Feed by HR ICP-OES

Transcription:

Metal Oxide EUV Photoresists for N7 Relevant Patterns Stephen T. Meyers, Andrew Grenville 2016 International Workshop on EUV Lithography

Resists Designed for EUV Lithography Integration Stochastic Variability MOx Resist RLS 2

Baseline MOx Resist Platform 38 mj/cm 2 SnO x based resist E size @ 16nm HP: ~37 mj/cm 2 EL max : 29% Resist thickness ~18nm 16L32P CD = 16.3 nm LWR = 3.8 nm NXE:3300 dip90y Formulation scaled to multi-gallon batches, installed on multiple tracks/fabs enabling critical learning: Track Compatibility Stability Filtration Defectivity CDSEM Metrology OPC / Litho modeling Dg (mj/cm 2 ) 20 18 16 14 12 10 8 6 4 2 0 Resist Age vs. Dg (mj/cm 2 ) 0 50 100 150 200 Resist Age (Days) 3

MOx Resists Fab Acceptance All tested trace metals < 10 ppb Matrix Metal: Sn Track / Etch Cross Contamination EUV Outgassing Trace Metal Impurities Developed ICP-MS methods to eliminate mass interferences from Sn Enabling Lower Detection Limits Example: isotope overlap between 112 Sn and 112 Cd Demonstrated multiple large batches with no detectable trace metals 4

MOx Resist Integration: IMEC in7 Metal 2 Block Layer CD-X Target 21nm ± 10% Dose to size: 49mJ/cm 2 Customized illumination El max :22%, DOF @ 10%EL:118 nm X-Wafer CDU 3σ: 1.8nm De Simone, D. et al. Proc. SPIE, 9776-11 (2016) 5

MOx Resist Integration: IMEC in7 Metal 2 Block Layer De Simone, D. et al. Proc. SPIE, 9776-11 (2016) 6

Resists Designed for EUV Lithography Integration Stochastic Variability MOx Resist RLS 7

EUV Photon Shot Noise Consider contacts/pillars Photon shot noise dose fluctuation from contact to contact Billions of contacts per die: need to consider 7σ variation Photon Density 25 mj/cm 2 18 nm Contact 8

Absorbed Photon Shot Noise Variation in effective dose due to statistical distribution of absorbed photons When too few absorbed, contact/pillar will not form Exposure latitude of resist process must accommodate this variation Resist Absorbance (1/μm) Thickness (nm) 7σ PSN Inpria 20 25 17% CAR 5 25 31% 31% 17% Fallica, R. et, al. Proc. SPIE, 9776-39 (2016) 9

Stochastic Material Composition: CAR 18 nm contact = 2036 PAGs, 407 Quencher PAG = Quencher = 18 nm At 7σ 18 nm ± 16% PAG ± 35% Quencher Assume: 40 nm FT, 0.2 PAG/nm 3, 0.04 Quencher/nm 3 10

Stochastic Material Comparison Inpria materials have lower initial stochastic variability No minor components Small, uniform building blocks (~1.4 nm dia) High concentration of bound photoactive centers Higher Homogeneity PAG = Quencher = Inpria MOx units= Inpria MOx CAR 18 nm 18 nm 18 nm 11

Resist Modeling Initial Inpria resist model created using PROLITH Based on physical measurements and CDSEM of pillars & lines Baseline resist 20,000 contacts simulated 18P36, NA 0.33, Quad30 Resist Thickness (nm) Dose (mj/cm 2 ) CD 1σ (nm) Inpria 18 40 1.2 CAR1 40 36 2.3 CAR2 40 52 2.0 Vaglio Pret, A. et al. Proc. SPIE, 9779-06 (2016) 12

Resists Designed for EUV Lithography Integration Stochastic Variability MOx Resist RLS 13

Resist Performance Improvements Toward N7 Targets Baseline resist process Variants of baseline resist and process New Formulations NXE:3300, Dipole 90x Targeted design changes reduce D gel while preserving contrast Multiple formulations tested with improved Esize vs LWR relative to baseline 14

16nm HP below 20 mj/cm 2 24 mj/cm 2 3.6 nm LWR 18 mj/cm 2 4.2 nm LWR 14 mj/cm 2 5.1 nm LWR CD = 15.9 nm CD = 15.9 nm CD = 16.0 nm NXE:3300, Dipole 60x 15

Integrating New Formulations in IMEC M2 Process NXE3300 NO RETICLE BIAS, CD-X 21nm ± 10% Baseline New Formulation SOC opening after PhR strip Baseline Next Gen. Dose [mj/cm 2 ] 49 19 EL % 22 22 DOF @10%EL [nm] 118 120 CDU (3σ) [nm] 1.8 TBD Focus offset -105 nm -85 nm -65 nm -45 nm -25 nm -5 nm 15 nm NXE:3300, Dipole 60x 16

Beyond N7: 13nm LS @ 26 mj/cm 2 w/ Process Window -60nm -40nm -20nm Focus: 0nm 20nm 40nm 60nm NXE3300, Dip45x 13nm L/S No Bias EL max : 17% DOF@10%EL: 140 nm Dose to size: 26 mj/cm 2 27.0 mj/cm 2 13.2 nm CD 4.6 nm LWR 17

Summary Sampling baseline resist for process development and fab integration High absorbance and small photoactive building blocks lower initial stochastic variability Improved dose vs LWR: < 20 mj/cm 2 at N7 pitches 18

MOx Outlook Low photon / material stochastic variability Competitive LWR-Dose But what are the real limits? Better MOx Modeling parameterize descriptive understanding of MOx resists Effective Quantum Yield Solubility Change / Radiation Event D g = 2.3 mj cm -2 γ = 11 Chemistry Headroom 19

THANK YOU... and all of our partners 20