High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography

Similar documents
EUV Source Supplier Update, Gigaphoton

Laser Produced Plasma for Production EUV Lithography

High-power DPP EUV source development toward HVM

At wavelength characterization of EUV and soft X-ray gratings

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

Contamination control in EUV exposure tools

Status of the liquid-xenon-jet laser-plasma source for EUV lithography

EUV Defect Repair Strategy

E-Beam Coating Technology for EUVL Optics

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

Irradiation Effects on EUV Nanolithography Collector Mirrors

Linear Plasma Sources for Surface Modification and Deposition for Large Area Coating

MAGNUM-PSI, a Plasma Generator for Plasma-Surface Interaction Research in ITER-like Conditions

Electron Beam Induced Processes and their Applicability to Mask Repair

Combinatorial RF Magnetron Sputtering for Rapid Materials Discovery: Methodology and Applications

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

Photolithography I ( Part 2 )

Small Plasma Devices

High Power Operation of Cryogenic Yb:YAG. K. F. Wall, B. Pati, and P. F. Moulton Photonics West 2007 San Jose, CA January 23, 2007

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

The European Cluster of Advanced Laser Light Sources

Transmission Electron Microscopy (TEM) Prof.Dr.Figen KAYA

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Plasma Activated EB-PVD of Titanium and its Compounds by Means of Large Area SAD

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

Next Generation Source Power Requirements. Erik R. Hosler

Specimen Preparation Technique for a Microstructure Analysis Using the Focused Ion Beam Process

Advances in Intense Pulsed Light Solutions For Display Manufacturing. XENON Corporation Dr. Saad Ahmed Japan IDW 2016

Lecture Day 2 Deposition

Characterization of laser-material interaction during laser cladding process P.-A. Vetter,* J. Fontaine,* T. Engel," L. Lagrange,& T.

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

X-RAY EMISSION IN THE HIGH-CURRENT GLOW DISCHARGE EXPERIMENTS

Development of High-Reflective W/Si-multilayer Diffraction Grating for the Analysis of Fluorine Materials

Physical structure of matter. Monochromatization of molybdenum X-rays X-ray Physics. What you need:

Detectors and Coatings for Efficient Systems for Future UV Astronomy

Fig1: Melt pool size of LAMP vs. µlamp. The LAMP process s melt pool is x the area of the LAMP s melt pool.

Rapid Microtooling with laser based methods

Neutron Detector development at the ILL Based on 3 He and alternative convertors

Universität Hamburg, Hamburg, Germany. Universität Hamburg, Hamburg, Germany

II. NEG THIN FILM DEPOSITION

EQUIPMENT AND SYSTEM FOR VACUUM COATING METALLIZING, SPUTTERING, PLASMA and PECVD. Hybrid system KOLZER DGK 36

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Experiences of PLD Technology for LIB Separators. PICODEON Oy. Neal White

High Throughput Laser Processing of Guide Plates for Vertical Probe Cards Rouzbeh Sarrafi, Dana Sercel, Sean Dennigan, Joshua Stearns, Marco Mendes

Mirror contamination and secondary electron effects during EUV reflectivity analysis

Optimization of optical performances in submicron silicon-on-insulator rib and strip waveguides by H 2 thermal annealing

FABRICATION AND RELIABILITY OF ULTRA-FINE RDL STRUCTURES IN ADVANCED PACKAGING BY EXCIMER LASER ABLATION

Laser Plasma Technologies. Innovative Targetry for Laser Plasma Interaction

Lithography options for the 32nm half pitch node. imec

Photonic Sintering of Silver for Roll-to-Roll Printed Electronics. Saad Ahmed, PhD Manager-Engineering

Korkealämpötilaprosessit

Energy Efficient Glazing Design. John Ridealgh Off-Line Coatings Technology Group Pilkington European Technology Centre

FIB mask repair technology for EUV mask 1. INTRODUCTION

Differentially pumped quadrupole SIMS probe on FIBbased and two-beam microscopes

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Resist Characterization for EUV- Lithography

AC Reactive Sputtering with Inverted Cylindrical Magnetrons

EP-23. Advanced EP Concepts, AIAA Jared P. Squire, Mark D. Carter, Franklin R. Chang Diaz, Lawrence Dean, Matthew Giambusso, Jose Castro,

Gridless end-hall. Ion Sources. For Ion Assisted Thin Film Deposition & Substrate Cleaning

Plating HIGH ASPECT RATIO PCBs

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

General Guidelines on Drop Size Measurement Techniques and Terminology

Thermal Evaporation. Theory

Fabrication of the Crystalline ITO Pattern by Picosecond Laser with a Diffractive Optical Element

MICROFABRICATION OF OPTICALLY ACTIVE InO X MICROSTRUCTURES BY ULTRASHORT LASER PULSES

Laser damage threshold of AR coatings on phosphate glass

Cavity Filters. KIGRE, INC., 100 Marshland Road, Hilton Head, SC 29926, USA PH: FAX: Web:

Managing Anode Effects and Substrate Heating from Rotatable Sputter Targets

Experimental and Numerical Study of Isentropic Compression by Laser Irradiation. Erik Brambrink PNP13

Radiation Damage of Polycrystalline CVD Diamond with Graphite Electrical Contacts

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN

Generation of small batch high quality metal powder

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

Diagnostics for Studying Deposition and Erosion Processes in JET

EFFICIENCY AND PRODUCTIVITY INCREASE OF SOLAR-CELLS AND -MODULES BY INNOVATIVE LASER APPROACHES

LASER-INDUCED CONTAMINATION CONTROL FOR HIGH-POWER LASERS IN SPACE-BASED LIDAR MISSIONS

Experimental study of plasma window 1*

Performance of the Micro Photon Devices PDM 50CT SPAD detector with PicoQuant TCSPC systems

MODEL 1051 TEM Mill ION MILLING. Ion milling is used on physical science. specimens to reduce thickness to electron

Fs- Using Ultrafast Lasers to Add New Functionality to Glass

R&D ACTIVITIES AT ASSCP-BHEL,GURGAON IN SOLAR PV. DST-EPSRC Workshop on Solar Energy Research

Confocal Microscopy of Electronic Devices. James Saczuk. Consumer Optical Electronics EE594 02/22/2000

Bare Aluminum Oxidation

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Status of Research on Deposition of Superconducting Films for RF Accelerating Cavities

Hard Coated Silica/Silica (Low OH) Radius

advantages : region of interest pre-prepared specimen initial specimen TEM-observation direction region of interest pre-prepared specimen

DEPOSITION OF Al 2 O 3 ON CERAMIC SUBSTRATES BY PECVD METHOD. Lucie Špirková a Vlastimil Brožek a Jean Durand b

Developing Solutions for the Environmental Challenges to Deploying PV Plants in Desert Areas

Leveraging the Precision of Electroforming over Alternative Processes When Developing Nano-scale Structures

UCLA UCLA Electronic Theses and Dissertations

Substrate surface effect on the structure of cubic BN thin films from synchrotron-based X-ray diffraction and reflection

Thin AC-PDP Vacuum In-line Sealing Using Direct-Joint Packaging Method

Mo/Si Multilayers with Different Barrier Layers for Applications as Extreme Ultraviolet Mirrors

The growth of patterned ceramic thin films from polymer precursor solutions Göbel, Ole

Preparation of Bi-Based Ternary Oxide Photoanodes, BiVO 4,

Transcription:

High Power Gas Discharge and Laser Produced Plasma Sources for EUV Lithography U. Stamm, I. Ahmad, I. Balogh, H. Birner, D. Bolshukhin, J. Brudermann, S. Enke, F. Flohrer, K. Gäbel, S. Götze, G. Hergenhan, J. Kleinschmidt, D. Klöpfel, P. Köhler, V. Korobochko, B. Mader, R. Müller, J. Ringling, G. Schriever, C. D. Tran, C. Ziener XTREME technologies, Göttingen and Jena, Germany 2 nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium funded by BMBF contract # 13N8131 and part of the MEDEA+ project T405 EUV Source Development 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 1

XTREME technologies: Development Strategy EUV-joint venture between Lambda Physik AG & Jenoptik LOS GmbH Mission: Development, manufacturing and marketing of EUV sources for lithography and EUV technology development gas discharge produced plasma source laser produced plasma source 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 2

XTREME technologies : Development Strategy Gas Discharge Produced Plasma Source single α-tool β-tool HVM 2003 2005 2008 technology Laser Produced Plasma Source 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 3

MEDEA+ EUV Source Development Program Participants: Germany* XTREME technologies (coordinator) Philips Extreme UV Jenoptik Mikrotechnik Zeiss France Alcatel CEA Thales Project content: Investigation on solutions for EUV sources including their characterization and interfacing to first generation of EUVL production tools Technology: Gas discharge produced plasma Laser produced plasma *funded by German Ministry of Education and Research (BMBF) Research topics: EUV sources for lithography EUV sources for metrology purposes (mask inspection, optics characterization, process development) Metrology for EUV source characterization 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 4

Outline 1. Laser Produced Plasma EUV Source Update 2. Gas Discharge Produced Plasma EUV Source XTS 13-35 for Integration in EUV Microstepper 3. Performance Update High Power Gas Discharge Produced Plasma EUV Sources 4. Summary and Roadmap For results with tin see V. Borisov et al., Session Source 2, Wednesday 01 Oct. 03, 16:35, this conference 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 5

Most Critical Tasks in Source Development today 1. Increase optics lifetime / reduce debris - by orders of magnitude - HVM requirement > 10 11 pulses at > 7,000 Hz 2. Increase power / improve efficiency HVM requirement 115 W in focus 3. Keep the heat-load (per area) manageable / improve cooling / increase components lifetime - by orders of magnitude - HVM requirement > 10 10 pulses at > 7,000 Hz 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 6

Calibrated EUV Source Metrology Characterization of LPP and GDPP EUV sources is done by standard source diagnostics is calibrated at Bessy and/or cross calibrated at XTREME s EUV sources and comprises: Energy/ energy stability/ power monitor Pinhole camera EUV spectrometer EUV energy monitor E-Mon EUV pinhole camera EUV spectrometer 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 7

Laser Produced Plasma EUV Sources 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 8

LPP EUV Sources 4 source target systems are currently operated to address different research topics development of: injection systems gas & cooling systems observation systems RGA & environmental studies Engineering of support systems Stable jet-targets generated with 3-rd generation injection systems Droplet generation demonstrated 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 9

LPP EUV Sources Laser delivers up to 500 W at 10 khz in a 2x diffraction limited beam High power driver laser EUV source chamber > 2 W power in 2π sr at Etendue matched plasma size, with 5 sr source collector module > 1 W in intermediate focus Xenon target 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 10

LPP EUV Sources: Efficiency Optimization the highest conversion efficiency today is 0.95% optimization of coupling parameters leads to improved efficiency 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 11

LPP EUV Sources: EUV Energy Stability High EUV stability has been achieved with directionally stable Xe-targets EUV-energy / a.u. 1.4 1.2 1.0 0.8 0.6 0.4 0.2 0.0 Event probability 100 repeatability shot to shot 0 200 400 600 800 1000 Pulse # 80 60 40 20 repeatability shot to shot 0 0.0 0.4 0.8 1.2 EUV-energy / a.u. EUV-energy / a.u. 1.2 1.0 0.8 0.6 0.4 0.2 0.0 dose-stability 50 pulses moving average 0 10 20 30 40 50 Time / seconds Pulse to pulse repeatability: σ (EUV energy) 4.7 % σ (Laser) 3.8 % Average CE: 0.82 % Max. CE: 0.94 % Dose stability: σ (energy dose) 0.88 % 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 12

LPP EUV Sources: EUV Source Dimensions EUV-Plasma dimensions Single pulse / filtered PH-camera Laser beam direction: 230 µm (1/e²) Xe-target direction: 270 µm (1/e²) 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 13

LPP EUV Sources: EUV Optics Lifetime Data Witness plate reflectivity is monitored during exposure / plate is analyzed afterwards MLmirror Plasma Photo diode Zr-foil h [nm] Target chamber 10 0-10 -20-30 -40 abgedeckter Bereich große Blende kleine Blende kleine Exposure Blende 2 Exposure 1 große Blende Shadow abgedeckter Bereich Si Mo Si Mo Si Mo Si Mo Si Mo Si Mo Si 0 100 200 300 400 500 b [µm] Life time @ an EUV-inband energy of 0.6mJ: - 4*10 6 pulses @ 10-3 mbar (erosion of 10 multi layers) - with buffer gas up to 10 7 pulses do not cause any erosion at all 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 14

LPP EUV Sources: Power Upgrade MOPA + pre-amp + two identical 4 head power-amp chains > 1.3 kw, almost diffraction limited, short-ns pulses Target chamber for 1.5 kw laser 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 15

Gas Discharge Produced Plasma EUV Source XTS 13-35 for Integration in EUV Microstepper 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 16

Gas Discharge Produced Plasma Z-Pinch EUV Source Principle Z-Pinch with pre-ionization surface discharge discharge unit Working gas Xenon Liquid cooling gas flow preionization unit plasma Interface to application and pumping unit Input energy up to 10 J/pulse z-axis cathode anode Highly stable output C0 L1 L2 Power supply C1 C2 switch 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 17

GDPP EUV Source XTS 13 35 for EUV Microstepper EUV OUTPUT POWER / W/2%bandwidth 60 50 40 30 20 10 solid angle 2π sr 1.8 sr EUV sources shipped Source power > 35 W in 2π solid angle 0 0 200 400 600 800 1000 REPETITON RATE / Hz Control / power supply unit EUV source head Diagnostic / interfacing vacuum chamber Pulsed power / gas supply More than 10 Gas Discharge Produced Plasma EUV sources have been built 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 18

GDPP EUV Source XTS 13 35 for EUV Microstepper GDPP Sources XTS 13-35 delivered to EXITECH, Oxford, UK for integration into Micro Exposure Tool MS-13 Equipped with debris mitigation and integrated with collector optics from Zeiss, GER Use for process development at International SEMATECH, USA See also poster # 170, Philipp H. Grünewald et al., EXITECH, Microstepper for EUV Lithography, and Poster #56, Udo Dinger et al., Carl Zeiss SMT, Fabrication and Metrology of Diffraction Limited Soft X-ray Optics for EUV Lithography 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 19

GDPP EUV Source XTS 13 35 for EUV Microstepper EUV spectrum from Xtreme source (XTS 13-35) Spectral intensity [arb. units] 1,0 0,8 0,6 0,4 0,2 0,0 10 11 12 13 14 15 16 17 18 Spectral intensity [arb. units] 12,0 12,5 13,0 13,5 14,0 14,5 15,0 Wavelength [nm] Spectral distribution after Mo/Si multilayer mirror reflection Wavelength [nm] Intensity of 13.5 nm lines comparable to 11 nm lines Overall conversion efficiency (EUV output in 2π sr / stored electrical energy): > 0.55 % 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 20

XTREME s GDPP Source Component Lifetime 50 45 40 Component EUV in-band Lifetime power versus > 100 Million pulses Pulses at 1000 Hz at > 35 mj / pulse and > 35 W power EUV Power [W / 2π sr] 35 30 25 20 15 10 5 With power stabilization simulation EUV Source XTS 13-35 Lifetime increase because of progress in Plasma wall distance increase 0 0 20 40 60 80 100 120 Number of Pulses / Million 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 21

Lifetime Limits - Calculated Electrode Temperature T / K 5000 4500 4000 3500 3000 2500 2000 1500 Evaporation due to deposited energy T melt (Tungsten) 3650 K T max 1000 Parameters: 500 Deposited energy 0 Tungsten layer thickness -1500 500 2500 4500 6500 8500 10500 Cooling 12500 temperature Repetition Rate t / microseconds 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 22

Lifetime Limits - Calculated Electrode Mass Loss mass loss/shot / arb. Units Measured Calculated Evaporation due to deposited energy 0 0.2 0.4 0.6 0.8 1 1.2 Repetition rate ( khz) 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 23

Lifetime Limits - Calculated Electrode Lifetime 1.00E+11 electrode lifetime (shots) 1.00E+10 1.00E+09 1.00E+08 1.00E+07 Evaporation due to deposited energy Plasma wall distance 3d Plasma wall distance d Plasma wall distance d/3 1.00E+06 0 1 2 3 4 Repetition rate / khz 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 24

Debris and Optics Lifetime Debris Fast particles (ions, atoms) from plasma have dominant effect on optics lifetime today - lead to sputtering Material from electrodes (GDPP) or nozzle (LPP) is currently negligible but will become of importnce in future Debris aggressivity similar for GDPP and LPP Debris filter 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 25

Optics Lifetime On-line Measurements with Grazing Incidence Optics Turbopump Layer sensor monitor installed Source Source point Debris filter Oscilloscope Grazing incidence mirror sample Ref-EM EM Measures change in mass due to sputtering and/or deposition On-line reflectivity measurement with energy monitor (EM) Measures change in mass due to sputtering and/or deposition Pressure Measurement Distances Source point-sample 110mm Sample-detector entrance 450mm Sample aperture 11x15mm² Entrance aperture detector 5mm 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 26

Debris and Source Collector Optics Lifetime Thickness of Sputtered Layer / nm 1000 100 10 1 Without Debris Mitigation With Debris Mitigation 0,1 1E-3 0,01 0,1 1 10 Number of Pulses / Million Progress in debris filter arrangement and operation: Suppression of sputtering by fast particles at surface of collector optics by approximately 5000 times < 2 nm layer sputtered of after 10 million pulses at 35 mj EUV in 2π sr 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 27

XTREME s GDPP Source Collector Optics Lifetime 1.4 Normalized Reflectivity 1.2 1.0 0.8 0.6 0.4 0.2 0.0 Debris filter 0 10 20 30 40 50 Number of Pulses / Million Optics Lifetime > 50 Million pulses at 35 mj / pulse 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 28

Performance Update High Power Gas Discharge Produced Plasma EUV Source Development 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 29

Cooling Improvement for Z-Pinch GDPP Source Coolant: water Standard cooling design (09/01) (cooling fins) Maximum cooling capabilities: Anode 4.4 kw Cathode 2.6 kw Total cooling power 5.2 kw Cathode Ceramic insulator Anode Improved cooling design (10/03) (porous metal) Maximum cooling capabilities: Anode 7.9 kw Cathode 7.6 kw Total cooling power 15.2 kw 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 30

XTREME s GDPP EUV Source Power Improvement 120 W CONTINUOUS OPERATION 1.5 mm 0.5 mm Chuck Gwyns optimistic estimate at this conference: 207 W continuous Power in 2π sr are needed! 120 W power in 2π sr at Etendue matched plasma size > 10 - > 20 W in intermediate focus assuming 10-20 % collector module efficiency 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 31

Power improvement of XTREME s GDPP sources Collectable EUV in-band power / W/2πsr 140 120 100 80 60 40 20 0 Z-pinch PLEX FCI measurements CONTINUOUS OPERATION Power out of plasma volume: < 1.3 mm x 1.6 mm XTREME technologies Z-Pinch small plasma Porous metal cooling Aug 99 Mrz 00 Okt 00 Apr 01 Nov 01 Mai 02 Dez 02 Jun 03 Jan 04 date 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 32

Summary - LPP EUV Sources LPP EUV Sources EUV power of 2 W in 2π sr at 3300 Hz continuous operation Conversion efficiency up to 0.95% Source diameter 250 microns (1/e 2 ) Source energy stability of 5% sigma Optics lifetime (10 % reflectivity decrease) without debris mitigation is 4*10 6 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 33

Summary - GDPP EUV Sources XTS 13-35 for EUV Microstepper EUV sources with 35 W in 2π sr at 1000 Hz delivered and under integration Optics lifetime (10 % Reflectivity decrease) with debris filter > 50 million pulses High Power GDPP EUV Sources EUV power of 120 W in 2π sr at 4000 Hz continuous operation > 10 - > 20 W in IF Conversion efficiency up to 0.55% Source dimensions 500 microns x 1500 microns (FWHM) Source energy stability of < 5% sigma 2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium, Sept. 30 Oct. 02, 2003, Antwerp, Belgium Page 34