LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

Similar documents
Metal Oxide EUV Photoresists for N7 Relevant Patterns

Lithography options for the 32nm half pitch node. imec

Next Generation Source Power Requirements. Erik R. Hosler

Technical Data Sheet Technisches Datenblatt

Advanced Lithography Updates and Challenges for Metrology and Inspection

NIL defect performance toward High volume mass production

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

AZ P4620 Photoresist Data Package

New Materials as an enabler for Advanced Chip Manufacturing

Photolithography I ( Part 2 )

FIB mask repair technology for EUV mask 1. INTRODUCTION

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Simulation Analysis of Defect Repair Methods for EUVL Mask Blanks

EUV Defect Repair Strategy

TSV Interposer Process Flow with IME 300mm Facilities

Status and Challenges in EUV Mask Cleaning

EUV Mask Image Placement Management in Writing, Registration, and Exposure Tools

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

Integrated Process Technology Development for the sub 7nm Era

Imprint Lithography: Getting to the Next Level

Resist Characterization for EUV- Lithography

Advanced developer-soluble gap-fill materials and applications

UV5 POSITIVE DUV PHOTORESIST For Microlithography Applications

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Chapter 1.6. Polished Single-Crystal Silicon, Prime Wafers (all numbers nominal) Wafer Specification Table. Diameter 100 mm 4-inch 150 mm 6-inch

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Multilayer Development for Extreme Ultraviolet and Shorter Wavelength Lithography

125nXT Series. EMD PeRFoRmaNce MaTeRIaLs. technical datasheet. Photopolymer Negative Tone Photoresists APPLICATION TYPICAL PROCESS THICKNESS GRADES

Process Flow in Cross Sections

Electron Beam Induced Processes and their Applicability to Mask Repair

Advantages of BARC and photoresist matching for 193-nm photosensitive BARC applications

Seminar Micro- and Nano-technology

DuPont MX5000 Series

Overview of SEMI Standards for EUV Masks. Scott Hector ISMT/Motorola Chairman of SEMI EUV Mask Task Force

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

2006 UPDATE METROLOGY

Lithography Tool Package

EUVL Mask Defect Strategy

Novel Spin on Planarization Technology by Photo Curing SOC (P-SOC)

Defects, Overlay and Focus Performance Improvements with Five Generations of Immersion Exposure Systems

ZERO DEFECTS Entegris Newsletter

Blisters formation mechanism during High Dose Implanted Resist Stripping

Laser Produced Plasma for Production EUV Lithography

Hybrid BARC approaches for FEOL and BEOL integration

Customer Support: Leveraging Value of Ownership

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

A World Class Specialty Materials Company

Nanoimprinting in Polymers and Applications in Cell Studies. Albert F. YEE Chemical Engineering & Materials Science UC Irvine

Novel Polyphenol Base Molecular Resist Having High Thermal Resistance

VLSI Systems and Computer Architecture Lab

If it moves, chop it in half, then simulate it

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

SUSS SOLUTIONS FOR LARGE FORMAT PATTERNING UV Scanning Lithography and Excimer Laser Ablation

Emerging Materials for Front End IC Process

3D & 2½D Test Challenges Getting to Known Good Die & Known Good Stack

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

How To Write A Flowchart

Contact Resistance Reduction using Advanced Implant and Anneal Techniques for 7nm Node and Beyond

Galvanic Porous Silicon for High Velocity Nanoenergetics

Rockwell R RF to IF Down Converter

Electron Beam Lithography - key enabling technology in nanofabrication. Frank Dirne

Micro and nano structuring of carbon based materials for micro injection moulding and hot embossing

2015 EE410-LOCOS 0.5µm Poly CMOS Process Run Card Lot ID:

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Reactor wall plasma cleaning processes after InP etching in Cl 2 /CH 4 /Ar ICP discharge

Semicon Europa Wafer Chucks for Lithography. Berliner Glas KGaA Herbert Kubatz GmbH & Co. Sven Götze

Nanofabrication Prof. Stephen Y. Chou NanoStructure Laboratory

Atomic Layer Deposition(ALD)

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

Filtration on block copolymer solution used in directed self assembly lithography

FOR SEMICONDUCTORS 2005 EDITION

Nano-imprinting Lithography Technology І

Panel Discussion: Advanced Packaging

A novel approach to developer-soluble anti-reflective coatings for 248-nm lithography

CMP Users Meeting. Impact of CMP buffing chemistry on defects level for interconnections on CMOS processing. April 12 th 2013 D.

Intel Pentium Processor W/MMX

Packaging Commercial CMOS Chips for Lab on a Chip Integration

Creating Greater Capacity on Smaller Spaces

Sub-5 nm Structures Process Development and Fabrication Over Large Areas

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

Simple method for formation of nanometer scale holes in membranes. E. O. Lawrence Berkeley National Laboratory, Berkeley, CA 94720

FOR SEMICONDUCTORS 2007 EDITION

IMPLEMENTATION OF A FULLY MOLDED FAN-OUT PACKAGING TECHNOLOGY

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

ULTRA-SMALL VIA-TECHNOLOGY OF THINFILM POLYMERS USING ADVANCED SCANNING LASER ABLATION

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Optimizing spray coater process parameters

Part 3: Test Structures, Test Chips, In-Line Metrology & Inspection

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

The image part with relationship ID rid4 was not found in the file. Welcome

High Performance, Ceria Post-CMP Cleaning Formulations for STI/ILD Dielectric Substrates

IISW-2009 BSI technical challenges

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Silicon Wafer Processing PAKAGING AND TEST

Transcription:

LITHOGRAPHY MATERIAL READINESS FOR HVM EUV TECHNOLOGY DANILO DE SIMONE

EUV HISTORY AT IMEC OVER 10 YEARS OF EUV EXPOSURE TOOLS AT IMEC 2006-2011 2011-2015 2014 - present ASML Alpha-Demo tool 40nm 27nm LS 0.25 NA ASML NXE:3100 27nm, 22nm,18nm LS 0.25 NA ASML NXE:3300 22nm, 16nm, 13nm LS 0.33 NA 2

EUV ACTIVITIES AT IMEC: LAB-TO-FAB Typical imec focus: LAB-to-FAB Concept and Explore Fundamental understanding Manufacturi ng Compatibilit y Patterning Developmen t Integration in a Module Towards Manufacturi ng Complexity, Maturity and Time TODAY s FOCUS 3

OUTLINE IMEC TECH. NODEs MATERIAL LANDSCAPE IN EUVL EUV RESIST PATTERNING Line-space (LS) Contact holes (CH) Pillars (PL) EUV SUBSTRATE FOR EUV RESIST 4

IMEC TECH. NODES

IMEC TECH.NODEs - PATTERNING ASSUMPTIONS DIMENSIONS INVOLVED 6

PATTERNING

in7 BEOL CURRENT LITHOGRAPHIC PERFORMANCE 8

in7 BEOL WHAT ARE THE CHALLENGES TODAY? DtS and LWR/LCDU reduction at same performance with no added defects 9

MATERIAL LANDSCAPE IN EUVL

EUV MATERIAL LANDSCAPE TODAY SOG CAR Tone reversal material Organic UL Metal Nano Particles Molecular resist TMAH dev. SOC RESISTS Ancillary materials Metal oxide Metal sensitizer CAR Solventbased dev. CVD layer Metal Hard Mask Sensitizer UL CAR = Chemically Amplified Resist MCR = Metal containing Resist PTD = Positive Tone Developer NTD = Negative Tone Developer SOC = Spin On Carbon SOG = Spin On Glass UL = underlayer Traditional materials Not traditional materials 11

EUV PATTERNING

LOW EXPOSURE DOSE EUV RESIST SCREENING BEST SAMPLE PER SUPPLIER 16nm dense lines space PITCH 32nm 13

BUT, MORE IMPORTANT ARE THE NANO FAILURES 16NM LS PITCH 32NM ken line CAR = Chemically Amplified Resist MOR = Metal Oxide Resist nano-bridge CAR MOR nano-bridge >To get rid of nano failures is more urgent than reduce LWR < 14

LOW EXPOSURE DOSE EUV RESIST SCREENING BEST SAMPLE PER SUPPLIER Dense regular contact holes - Pitch 36nm 15

BUT, (AGAIN) NANO FAILURES ARE MORE IMPORTANT DENSE REGULAR CONTACT HOLES PITCH 36NM CHs with tendency to merge CH with tendency to close >To get rid of nano failures is more urgent than reduce LCDU < 16

AVAILABLE EUV RESISTS VS APPLICATION OPPORTUNITIES tate-of-the-art today for dense features Pitch 38-36nm Dark field mask Positive Tone Process CONTACTS PTD-CAR PTD-CAR with sensitizer Novel PTD NCAR Negative Tone Process PILLARS Metal Oxide resist Bright field mask TONE REVERSAL PROCESS FROM PTD-CAR (as promising approach) Dark field is the preferred mask tonality because of defectivity, flare NTD-CAR & NCAR metal and non metal containing (molecular resist, Nano Particles, etc..) are not ready for P38/P36 yet 17

METAL OXIDE RESIST REGULAR DENSE PILLARS PITCH 38NM CD 20.5nm 30 mj/cm 2 Low exposure dose resist 18

TONE REVERSAL PROCESS (TRP) FROM CONTACTS PILLARS TRP can be an alternative scheme to single exposure to make pillars from contacts 19

SUBSTRATES FOR EUV RESISTS

SUBSTRATES CAN BE TUNABLE FOR EUV RESIST SENSITIVITY IMPROVEMENT, PENALTY IS ON THE LWR 21

SUBSTRATES CAN BE TUNABLE FOR EUV RESIST SENSITIVITY IMPROVEMENT, LCDU STILL OK A ~ 22

EUV SUBSTRATES VS PHOTOEMISSION PROPERTY OF THE SUBSTRATE B photoemission DoF seems to correlate with photoemission of substrates Dose doesn t correlate Substrate design can play a role in the resist design development 23

FROM THE LAB TO THE FAB INPRIA CASE

METAL *1E10 (AT/CM2) METAL CROSS CONTAMINATION TEST - MOx RESIST OPTIMIZED PATH FOR A NON X-CONTAMINATING PROCESS contamination SPEC metal < : 1E10 at/cm 2 0,05 0,04 0,03 0,024 0,022 0,019 0,02 0,01 0,008 0,002 0 Front-side Front-Side - SB Back-side Back-Side - SB detection limit PRE process after 200 wfs Contamination 1.5x order of magnitude less w.r.t. to the spec De Simone et al. ICPST33 2016 25

DRY ETCH ETCH RATE INVESTIGATION OF MOx Etch step type BARC opening UL opening SOG opening SOC opening e Etch chemistry Cl2/O2 CF4/O2/CH2F2 Cl2/O2/N2 SF6/N2/CH2F2/H The etch selectivity of MCR vs SOC is 1:40. Simplified litho-etch process scheme can be designed to integrate MOx resist on advanced process modules of new technology devices De Simone et al. ICPST32 2015 26

ETCHER CONTAMINATION MARATHON OF MOx RESIST Pre etch ICP-MS Etch Rates Particles SnOx wafer etch WDC 24wafers/cycle Post etch ICP-MS Etch Rates Particles TEM metal-oxide removal Spec < 1E10 at/cm 2 95 processed wafers Wafer front side and backside metal contamination trended below spec level < 1E10 at/cm2 Etch rates are stable thermal oxide and i-line Resist De Simone et al. SPIE 2017 27

DETECTABILITY OF PROGRAMMED DEFECTS AFTER LITHO 22nm dense LS patterning MOx resist successful defect counting rate % Programmed defect size (nm) successful defect capturing rate % Programmed defect size (nm) Critical Point Inspection (SEM inspection) SEM inspection and review Pattern litho sensitivity on MOx resist shows some limitations on the inspection tool to detect the target programmed defects and defectivity inspection after etch is necessary at this stage De Simone et al. SPIE 2017 28

Pitch 175nm Pitch 60nm Pitch 42nm MOx RESIST: ETCH DEVELOPMENT FOR in7 BLOCK LAYER SPIN-ON-CARBON OPENING after development Spin-On-Carbon opening CD: 25.5 nm Height : 12nm CD: 22.2 nm Height : 69.8nm High Resist-SOC etch selectivity CD: 25.6 nm Height : 11nm CD: 22.7 nm Height: 67.1nm Straight SOC pillar profile CD: 25.5 nm Height : 12nm De Simone et al. SPIE 2016 29 CD: 23.2 nm Height : 66.5nm Constant litho-etch CD bias through pitch for these structures

INTEGRATION FOR EUV in7 BLOCK LAYER Bright mask Dark mask EUV block mask Dark Tone Mask combined with Negative Tone Resist NT resist ArFi Core SAQP direct PT resist tone reversal Higher CoO Dark field is the preferred mask tonality because of defectivity, flare De Simone et al. SPIE 2016 30

32P METAL (FOUNDRY N5) OPTION: SAQP + MOx RESIST EUV BLOCK P32 spacers on TiN Block litho on SOC SOC etch TiN etch Low-k etch Industry first assessment of SAQP + EUV single expose block with metal oxide (inpria) resist Integration into BEOL electrical test vehicle Joost Bekaert, SPIE 2017 SAQP = self-aligned quadrupole patterning 31

EXTENSION TO in5 (FOUNDRY N3) Development of options for ~20-24 nm pitch metal blocks using metal oxide resist i.e.: Litho-develop-litho-etch process (LDLE) Waikin Li, EUVL Symposium 2017 32

SUMMARY

SUMMARY Pitch 32nm LS and Pitch 36nm CH (in7 tech node): Low exposure dose is achieved but is limited by stochastics. Nano failures (bridges, broken lines, merging, close CHs) are becoming the 1 st priority to fix on top of LWR/LCDU reduction A new metric is needed to quantify the nano failures at early R&D phase LWR will require post processing smoothing approaches (litho & etch) More Negative Tone Systems (NTI or NTD) with high resolution are needed for pillar patterning. Tone Reversal Process from PTD-CAR can be an alternative approach for single pillar exposure Substrate plays a role in the EUV resist performance A dedicated substrate design could improve the resist sensitivity without a significant impact on LCDU The Lab-to-Fab path for advance patterning is in place at imec and through that, novel materials (as metal-oxide resists) can be enabled to reach the HVM grade for EUV lithography 34

ACKNOWLEDGEMENTS

Thank you for your attention 36