Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector

Similar documents
UC Santa Cruz UC Santa Cruz Electronic Theses and Dissertations

5.8 Diaphragm Uniaxial Optical Accelerometer

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Poly-SiGe MEMS actuators for adaptive optics

Surface micromachining and Process flow part 1

Ultra High Barrier Coatings by PECVD

Surface Micromachining

Proceedings Post Fabrication Processing of Foundry MEMS Structures Exhibiting Large, Out-of-Plane Deflections

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

200mm Next Generation MEMS Technology update. Florent Ducrot

Design and fabrication of MEMS devices using the integration of MUMPs, trench-refilled molding, DRIE and bulk silicon etching processes

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Coatings. Ion Assisted Deposition (IAD) process Advance Plasma Source (APS) plasma-ion assisted Deposition. Coatings on Optical Fibers

Etching Mask Properties of Diamond-Like Carbon Films

Oxide Growth. 1. Introduction

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Understanding Optical Coatings For Military Applications

MEMS prototyping using RF sputtered films

Optical Coatings. Photonics 4 Luxury Coatings , Genève. Dr. Andreas Bächli Head of Optical Coatings at RhySearch, Buchs (SG)

Amorphous Silicon Solar Cells

Surface Preparation and Cleaning Conference April 19-20, 2016, Santa Clara, CA, USA. Nano-Bio Electronic Materials and Processing Lab.

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

A Deep Silicon RIE Primer Bosch Etching of Deep Structures in Silicon

Procese de depunere in sistemul Plasma Enhanced Chemical Vapor Deposition (PECVD)

SOIMUMPs Design Handbook

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

COMPATIBILITY OF THE ALTERNATIVE SEED LAYER (ASL) PROCESS WITH MONO- Si AND POLY-Si SUBSTRATES PATTERNED BY LASER OR WET ETCHING

VLSI Technology. By: Ajay Kumar Gautam

FABRICATION PROCESSES FOR MAGNETIC MICROACTUATORS WITH POLYSILICON FLEXURES. Jack W. Judy and Richard S. Muller

Plasma-Enhanced Chemical Vapor Deposition

Fabrication Technology

Single crystal silicon supported thin film micromirrors for optical applications

"Plasma CVD passivation; Key to high efficiency silicon solar cells",

SUMMiT V Five Level Surface Micromachining Technology Design Manual

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

2Dlayer Product Catalog

Study of a-sige:h Films and n-i-p Devices used in High Efficiency Triple Junction Solar Cells.

Gaetano L Episcopo. Introduction to MEMS

PRECISION OPTICAL FILTERS BY EOSS - ENHANCED OPTICAL SPUTTERING SYSTEM. Fraunhofer

Solar Cells and Photosensors.

Regents of the University of California

Thin Film Characterizations Using XRD The Cases of VO2 and NbTiN

Surface Micromachining Process for the Integration of AlN Piezoelectric Microstructures

Supporting Information

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

EXCIMER LASER ANNEALING FOR LOW- TEMPERATURE POLYSILICON THIN FILM TRANSISTOR FABRICATION ON PLASTIC SUBSTRATES

Photonic Drying Pulsed Light as a low Temperature Sintering Process

Design & Fabrication of a High-Voltage Photovoltaic Cell. Jennifer Felder

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Measurement of thickness of native silicon dioxide with a scanning electron microscope

Lecture 10: MultiUser MEMS Process (MUMPS)

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Detectors and Coatings for Efficient Systems for Future UV Astronomy

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Optical Coatings. Phone: Fax: Domostroitelnaya str St. Petersburg, Russia

Thermal Evaporation. Theory


Silicon photonics biosensing: different packaging platforms and applications ABSTRACT 1. REACTION TUBES AS A PLATFORM FOR RING RESONATOR SENSORS.

Process Flow in Cross Sections

Nanophotonics: principle and application. Khai Q. Le Lecture 11 Optical biosensors

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Greenhouse Gas Measurement Technology

COMMERCIAL PRODUCTS GROUP. World Leader in High Performance, Ultra-Durable Thin Film Coatings

ADOPT Winter School Merging silicon photonics and plasmonics

Amorphous Oxide Transistor Electrokinetic Reflective Display on Flexible Glass

MCC. PMGI Resists NANO PMGI RESISTS OFFER RANGE OF PRODUCTS

Deep Silicon Etching An Enabling Technology for Wireless Systems Segment By Carson Ogilvie and Joel Goodrich Commercial Product Solutions

Nanostructured Engineered Materials With High Magneto-optic Performance For Integrated Photonics Applications

Industrial Diamonds: Present and the Future

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Isolation Technology. Dr. Lynn Fuller

Lecture Day 2 Deposition

Visualization and Control of Particulate Contamination Phenomena in a Plasma Enhanced CVD Reactor

Stress analysis of a high fill-factor micromachined bolometer for thermal imaging applications

Silicon Germanium Epitaxy: A New Material For MEMS

THE NEXT GENERATION OF GERMANIUM SUBSTRATES: EXPOGER

Installation and User Guide. HATR Horizontal ATR Accessory

In-Situ Monitoring of Pattern Filling in Nano-Imprint Lithography Using Surface Plasmon Resonance

Intel Pentium Processor W/MMX

Hybrid BARC approaches for FEOL and BEOL integration

Impurity free vacancy disordering of InGaAs quantum dots

MEASUREMENT OF SHEAR STRESS AND TEMPERATURE USING MEMS FABRICATED SENSORS

Method to obtain TEOS PECVD Silicon Oxide Thick Layers for Optoelectronics devices Application

SURFACE MICROMACHINING

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Co-Evolution of Stress and Structure During Growth of Polycrystalline Thin Films

PARAMETER EFFECTS FOR THE GROWTH OF THIN POROUS ANODIC ALUMINUM OXIDES

Enabling Technology in Thin Wafer Dicing

Light Trapping Enhancement in Thin Film Silicon Solar Cell with Different Back Reflector

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Photoresist Coat, Expose and Develop Laboratory Dr. Lynn Fuller

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

LOW TEMPERATURE PHOTONIC SINTERING FOR PRINTED ELECTRONICS. Dr. Saad Ahmed XENON Corporation November 19, 2015

A modular process for integrating thick polysilicon MEMS devices with sub-micron CMOS

Monolithic Microphotonic Optical Isolator

Transcription:

Fabrication and testing of a MEMS-based optical filter combined with a HgCdTe detector Dmitry A. Kozak* 1,2, Bautista Fernandez 1, Michael L. Morley 2, Silviu Velicu 2, Joel Kubby 1 1 University of California, Santa Cruz; 2 EPIR Technologies, Bolingbrook, IL ABSTRACT The Mid-wave infrared (MWIR) spectrum has applications to many fields, from night vision to chemical and biological sensors. Existing broadband detector technology based on HgCdTe allows for high sensitivity and wide range, but lacks the spectral decomposition necessary for many applications. Combining this detector technology with a tunable optical filter has been sought after, but few commercial realizations have been developed. MEMS-based optical filters have been identified as promising for their small size, light-weight, scalability and robustness of operation. In particular, Fabry-Perot interferometers with dielectric Bragg stacks used as reflective surfaces have been investigated. The integration of a detector and a filter in a device that would be compact, light-weight, inexpensive to produce and scaled for the entire range of applications could provide spectrally resolved detection in the MWIR for multiple instruments. We present a fabrication method for the optical components of such a filter. The emphasis was placed on wafer-scale fabrication with IC-compatible methods. Single, double and triple Bragg stacks composed of germanium and silicon oxide quarter-wavelength layers were designed for MWIR devices centered around 4 microns and have been fabricated on Silicon-On-Insulator (SOI) wafers, with and without anti-reflective half-wavelength silicon nitride layers. Optical testing in the MWIR and comparison of these measurements to theory and simulations are presented. The effect of film stress induced by deposition of these dielectric layers on the mechanical performance of the device is investigated. An optimal SOI substrate for the mechanical performance is determined. The fabrication flow for the optical MEMS component is also determined. Part of this work investigates device geometry and fabrication methods for scalable integration with HgCdTe detector and IC circuitry. Keywords: MWIR, Fabry-Perot interferometer, MOEMS, Bragg reflector 1.1 Motivation 1. INTRODUCTION The spectral range from 3-5 m has recently been of great interest due to its variety of applications in chemical sensing and astronomy, as numerous gases have very distinct absorbance bands in the region. Detectors in this region can have very high sensitivity, but due to their wide-band response, the spectral resolution is very low. Large mechanically controlled optical filters that have been added to the system, although of high resolution, are costly and are hard to adapt to all the desired applications. It is our goal to create technology that would combine the highest quality detector and filter components to create devices that have high sensitivity and spectral resolution, are low-cost, easy to manufacture and can be implemented in a wide variety of applications. 1.2 Previous work Large Fabry-Perot interferometers have been made for astronomy purposes since 1970s 1. Lately there has been work on creating small, light and inexpensive filter/detector devices, starting with NIR and moving into MWIR and LWIR 2. Scaling down infrared detectors allows them to be coupled with MEMS-based optical filters in several ways. Integration in a package has been done by the InfraTec Corporation, which has created a commercial product based on a Fabry- Perot filter made out of several layers of bonded silicon wafers, with Bragg stack mirrors made out of silicon and silicon dioxide layers 3. Schuler et al. gives an excellent summary of theory and extensive overview of several technologies 4. Monolithic integration has been done by the University of Western Australia group, who have been working on * dkozak@soe.ucsc.edu

monolithic integration of a HgCdTe detector with a MEMS filter, that uses a Bragg stack composed of germanium and silicon oxide layers on a silicon nitride structural layer 5. 1.3 Short review of Fabry-Perot interferometer principles A typical Frabry-Perot device is illustrated in Fig. 1. Light with intensity Ii is incident on a thin film of thickness t. Some of the light is reflected, Ir, and some of the light is transmitted, It. The two mirrors have reflectivity R and are separated by a gap of distance d. Constructive and destructive interference of light incident on the face produces a filtering effect, allowing light of wavelength md/2 ( m is an integer) to pass through, reflecting all others 6. Figure 1. Illustration of a Fabry-Perot interferometer. The main figure of merit of a Fabry-Perot interferometer is reflective finesse, given by 6 The full width at half maximum (FWHM), or bandwidth, is For the majority of applications, it is of particular interest to increase the finesse of the filter and decrease the bandwidth, as this would allow for higher spectral resolution. (1) (2) 1.4 Overview of the device The overall goal of this project is the fabrication of the Fabry-Perot filter integrated with HgCdTe detector and CMOS circuitry, as shown in the Fig. 2. The device that was fabricated as filter is a MEMS interferometer based on mechanical parts consisting of one movable membrane, supported by springs at the corners (X-beam) and one stationary membrane 7. The structural material is silicon, and the reflection in the MWIR region is enhanced by deposition of Bragg stacks on the surfaces of the two mirrors. The Bragg stacks consist of alternating layers of silicon oxide and germanium, chosen for their linear index of refraction in the MWIR. Germanium was chosen for the highest index of refraction of materials easily processed in the standard IC fabrication environment, and silicon oxide was chosen for the smallest index of refraction. A stack composed of these layers gives high reflectivity and therefore high finesse in a Fabry-Perot interferometer. The thicknesses of the layers are chosen equal to a quarter-wavelength at 4 m. The back of the movable membrane could be covered with an anti-reflection coating (ARC) consisting of silicon nitride with a halfwavelength thickness.

Figure 2. Proposed integration mechanism to create a complete device out of filter layers (1 and 2), HgCdTe detector layer (3) and CMOS control and logic layer (4). 2.1 Mechanical layer 2. PROCESS DEVELOPMENT The geometry of the Fabry-Perot interferometer lends itself easily to use as a standard MEMS parallel-plate actuator, where two opposite plates separated by a small distance are moved together when the opposite charge is accumulated on the two surfaces. The electrostatic actuation of this device requires the two surfaces to be electrically conductive and mechanically stiff. The optical design requires these layers to have low absorption in the MWIR. The material that answers all of these requirements is silicon, with low absorbance and well-characterized mechanical properties. To decrease the absorbance, it is desired to use as thin a layer as possible, with the lowest doping that would still produce the desired electrostatic actuation. Commercially available silicon-on-insulator (SOI) wafers provide high-quality and low-cost thin silicon layers without the need to develop this process. While single-crystalline silicon has no intrinsic stress, SOI wafers, due to processing, have intrinsic stress in the device layer that is sometimes very high. The thickness of the device layer, thickness of the buried oxide layer (BOX), and the method of bonding have influences on the stress in the released structures. Two different configurations of SOI wafers purchased from Ultrasil Corp. have been evaluated: 10 m device layer with 2 m BOX, and 25 m device layer with 0.3 m BOX. As the intrinsic stress in the device layer can have a detrimental effect on the final device, there is a need to measure it in each configuration. Bow-tie structures, shown in Fig. 3, have been used to measure the stress in-plane wich has been measured to be 200 MPa compressive in the 10 m device layer, and 5-10 MPa compressive in the 25 m device layer. In order to define the X-beam and provide isolation trenches on the chips, the silicon device layer has to be etched. The handle wafer has to have windows etched through it to provide a clear optical path for light. Two etching processes have been developed to etch the device layer: HF/nitric/ncetic acids (HNA) wet etching and a deep reactive ion etch (DRIE) process based on sulfur hexafluoride (SF6) plasma. The HNA etch has the benefit of being low-cost and allows etching of many wafers at the same time, but it is not selective to other materials used in the process and the etch rate varies greatly with time and temperature. The DRIE etch produces very repeatable etch rates and is very selective to all other materials, so it does not require extra depositions of protective materials, but it is limited in through-put 8. The handle wafer was etched by two processes, the wet TMAH etch and the dry DRIE process. The benefits and drawbacks are the same as for the wet and dry etch processes for defining the device silicon.

Figure 3. Fabricated bow-tie test structure. 2.2 Mask layout The design of the mask has chips for both the fixed membrane and the movable membrane supported by the X-beam actuator arms on the same wafer, to prevent wafer-to-wafer variability. The layout is presented in Fig. 4, and shows a 4 wafer layout. Bow-tie stress test structures are located on the perimeter of the wafer. Figure 4. Wafer layout. 2.3 Mirror materials and optical results Fabry-Perot mirrors have to have high reflectivity (99.9% in commercially available devices) and low absorption 4. Metal mirrors would have high reflectivity, but the absorption is very high in the MWIR and LWIR ranges. Bragg stacks composed of dielectrics with quarter-wave thickness are traditionally used. The mirror is composed of alternating layers of materials with high and low indices of refraction, and an approximation of the reflectivity is given in Eq. 3,

where n 0 is the index of refraction of the incident media, n 1 and n 2 are indices of refraction of the two materials that make up the stack, and n s is the index of refraction of the substrate 9. The reflectivity is increased with a higher number of high-low refraction pairs and an increase in the difference in the index of refraction of the two materials. In order to decrease complexity and therefore cost of the fabrication process, it is desirable to keep the thickness of the mirrors and the number of pairs to a minimum. The layers used have to be commonly available and not require special processing, since not many commercial foundries have capabilities to handle some of the more exotic materials that have desirable indices of refraction. After considering some of the standard MEMS processes and materials and their optical properties in the MWIR range, it was decided that germanium and silicon oxide would be utilized. Both of those materials have almost perfectly constant index of refraction in the desired range and are widely available. While high-quality films of monocrystalline and polycrystalline germanium with well-studied optical properties can be grown using CVD furnaces, it is also possible to deposit germanium using an e-beam evaporator at the same cost as metallization layers in CMOS. While the crystalline structure is of lower quality than CVD films, the quality becomes comparable when depositing multiple pairs on an underlying amorphous silicon oxide. Using films deposited on silicon wafers, it was determined that a germanium film of 250 nm thickness had a stress of 90 MPa tensile. Silicon oxide films for the mirrors were deposited using a PECVD process at 350 o C. While the resulting layers are of lower quality than films deposited using CVD, the lower temperature of deposition allows lower stress in the resulting layers, and decreases the oxidation of the germanium layers. After initial testing, it was determined that films deposited using a low-frequency plasma had much higher stress than layers deposited using a high-frequency plasma. The lowest stress achievable in silicon oxide films deposited in this system was 190 MPa compressive. The deposited layers were evaluated using SEM imaging to ensure the proper thickness and quality of the films. Figure 5 shows a cross-section of a test wafer with a double Bragg stack, consisting of two silicon oxide layers 720 nm thick and two germanium layers 250 nm thick. In the image, one can see a defect in the silicon oxide layer caused by particle contamination on the surface of the preceding germanium layer. Extra cleaning steps between depositions have eliminated this defect for the following depositions. (3) Figure 5. SEM image of double Bragg stack. For the purposes of optical testing, a range of test wafers was fabricated, with single, double and triple Bragg stacks deposited on silicon wafers.

Two etching processes were tested for etching of the Bragg stacks. Both processes used a hard mask consisting of 20 nm of chromium and 100 nm of gold. The first process used a combination of two etchants, 6:1 buffered oxide etch (BOE) for silicon oxide and hot (70 degrees) phosphoric acid for germanium. It was determined that the two etchants are perfectly selective to the other layers. Consequent etching of silicon oxide and germanium layers for a triple Bragg stack produced undercuts of 5 microns, which is small compared to the dimensions of the structure. A second etching process used a single etchant, HNA, to etch both materials in one step. Both processes produced undercuts of more than 5 m, as can be seen in Fig. 6. Figure 6. SEM Undercuts in triple Bragg stack produced by HNA etching (left) and consecutive etching (right). An anti-reflective coating (ARC) consisting of 500 nm layer of silicon nitride was developed as part of the process. The index of refraction of silicon nitride corresponds to the requirements of wide-range ARC 10, 11. Silicon nitride was deposited using PECVD system, which allows for a mix of high and low-frequency plasma deposition. A range of film stress from 290 MPa compressive to 550 MPa tensile for a film of 500 nm thickness can be controlled by selecting the right frequency mix. Optical testing has been performed on these wafers, in order to confirm simulations of the Bragg stack reflectivity. Fig. 7 plots the result of these tests, normalized to the reflectivity of a wafer coated with gold. As can be seen from this figure, a triple pair of germanium-silicon oxide layers produces a reflectivity close to 98% in the 3-6 m range.

Figure 7. Reflectivity of single, double and triple Bragg stacks, normalized to the reflectance of a gold wafer.

While the triple Bragg stack has the required reflectivity for the optical behavior of the filter, the layers would produce a film with a total of 300 MPa compressive stress. A triple Bragg stack was deposited on top of a silicon wafer, and a 1mm square window was etched from the substrate, creating a suspended membrane. Buckling of the membrane, as seen in Fig. 8, shows that high levels of stress would produce a structure that is far from the desired, perfectly level mirror. Figure 8. SEM image of double Bragg stack. Table 1. Stress levels in relevant layers used in fabrication. Material Method of deposition Thickness Stress Silicon Oxide PECVD 720 nm 190 MPa compressive Germanium E-beam evaporation 250 nm 90 MPa tensile Silicon Nitride PECVD 500 nm 600 MPa compressive 450 MPa tensile Membrane silicon SOI wafer 10 m, 25 m 200 MPa compressive, 5-10 MPa compressive 2.4 Surface Roughness The surface roughness in the mirror produces widening of the transmitted peak 12. The desire for high spectral resolution requires reducing the roughness as much as possible, given the surface roughness of the starting wafer. As there are several processes that could be used for each step, a process matrix was evaluated according to the combination of the steps used and the resulting roughness of the mirrors. It was determined that the highest roughness, on the order of 400-500 nm, resulted from HNA etching of the Braggs stack, HNA etching of the device layer, and TMAH etching of the handle wafer. Apart from high roughness of the surface, there were numerous pinholes through all the layers. The best surface, with a roughness of 19.2 nm, was produced with consequent etching of the Bragg stack and DRIE etching of the device silicon and handle silicon, as can be seen in Fig. 9. The defects were minimized, and the surface roughness is just slightly higher than the surface roughness of the starting wafer.

Figure 9. Bragg mirror surface produced with the best step combination. 2.5 Stress-induced bow High levels of stress in the deposited materials produce deformation in the released membrane and X-beam components. Table 2 summarizes the deformations obtained using two different SOI wafers. A combination of thicker silicon device layer with lower stress produces the lowest deformation, while the thinner silicon device layer with a higher stress produces the largest deformation. Additionally, the defects such as pinholes localize the deformations, producing extremely asymmetrical shapes. Fig. 10 shows surface scan of an X-beam fabricated on 25 m silicon device layer with a low defect density. The deformation has been exaggerated for improved visualization. Table 2. Stress-induced bow in fabricated components. SOI wafer and part Bow 10 m device Si, membrane 2.17 m 10 m device Si, X-beam 15.3 m 25 m device Si, membrane 67 nm 25 m device Si, X-beam 3.7 m Figure 10. X-beam part produced on 25 m silicon device layer with triple Bragg stack. In order to further decrease the bow, thicker silicon device layers have to be used in conjunction with stress compensating layers.

3. CONCLUSION In this work, we have completed the first round of fabrication and optical testing of the chips used for a Fabry-Perot interferometer filter that will be combined with HgCdTe detector. Analysis of several steps of the process was performed in order to combine the steps that produce the devices with lowest surface roughness and the least stress deformation. The resulting process is high-yield, uses only materials that are widely available and compatible with HgCdTe detector integration. Further work is required to reduce the bow of the X-beam structures. ACKNOWLEDGEMENTS This work has been supported by the EPIR Corporation. The fabrication has been performed at Stanford Nanofabrication Facility. White light interferometry has been performed at UCSC, and optical testing has been performed at EPIR Corp. REFERENCES [1] Epps, H. Private conversation. [2] Muller, R., Cristea, D., Tibeica, C., Arguel, P., Pons, P., F. Rossel, F., Syvridis. D., Kusko, M., Tunable Fabry- Perot surface micromachined interferometer-experiments and modeling, Microsyst. Technol. 12, pp. 91 97 (2005). [3] Neumann, N., Ebermann, M., Kurth, K., Hiller, S., Tunable infrared detector with integrated micromachined Fabry-Perot filter, J.Micro/Nanolith. MEMS MOEMS 7, pp. 021004-01 - 021004-9 (2008). [4] Schuler, L. P., Milne, J. S., Dell, J. M., Faraone, L., MEMS-based microspectrometer technologies for NIR and MIR wavelengths, J. Phys. D: Appl. Phys. 42, p. 133001 (2009). [5] Milne, J.S., Dell, J.M., Keating, A.J., et al., Widely tunable MEMS-based Fabry-Perot filter, Journal of Microelectromechanical Systems 18, pp: 905-13 (2009). [6] Hernandez, G., [Fabry-Perot Interferometers], Cambridge University Press, Cambridge, pp. 17-18 (1986). [7] Kozak, D.A., Fernandez, B., Velicu, S., Joel Kubby, J., Prototyping of MWIR MEMS-based optical filter combined with HgCdTe Detector, Proc. SPIE 7594 (2010). [8] Williams, K. and Muller, R. S., Etch rates for micromachining processing, J. Microelectomechanical Systems 4, pp. 256-269 (1996). [9] Sheppard, C.J.R., Approximate calculation of the reflection coefficient from a stratified medium, Pure and Applied Optics 4, pp. 665-9 (1995). [10] Southwell, W. H., Omnidirectional mirror design with quarter-wave dielectric stacks, Applied Optics 38, pp. 5464-5467 (1999). [11] Southwell, W. H., Coating design using very thin high- and low-index layers, Applied Optics 24, pp. 457-460 (1985). [12] Atherton, P. D., Reay, N. K., Ring, J. and Hicks, T. R., Tunable fabry-perot filters, Optical Engineering 20, pp. 806-814 (1981).