FABRICATION ENGINEERING MICRO- NANOSCALE ATTHE AND. Fourth Edition STEPHEN A. CAMPBELL. of Minnesota. University OXFORD UNIVERSITY PRESS

Similar documents
Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Technology. Semiconductor Manufacturing. Hong Xiao INTRODUCTION TO SECOND EDITION SPIE PRESS

VLSI Technology. By: Ajay Kumar Gautam

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Fabrication Technology

LANDOLT-BÖRNSTEIN. Zahlenwerte und Funktionen aus Naturwissenschaften und Technik. Neue Serie. Gesamtherausgabe: K.-H. Hellwege O.

Thermal Evaporation. Theory

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Isolation Technology. Dr. Lynn Fuller

Lecture 030 Integrated Circuit Technology - I (5/8/03) Page 030-1

Isolation of elements

Introduction to Micro/Nano Fabrication Techniques. Date: 2015/05/22 Dr. Yi-Chung Tung. Fabrication of Nanomaterials

VLSI Systems and Computer Architecture Lab

Radiation Tolerant Isolation Technology

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

CMOS FABRICATION. n WELL PROCESS

Silicon Wafer Processing PAKAGING AND TEST

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Dr. Priyabrat Dash Office: BM-406, Mob: Webpage: MB: 205

PROCESSING OF INTEGRATED CIRCUITS

Advanced Gate Stack, Source/Drain, and Channel Engineering for Si-Based CMOS 6: New Materials, Processes, and Equipment

3.155J / 6.152J Micro/Nano Processing Technology TAKE-HOME QUIZ FALL TERM 2005

VLSI Technology Dr. Nandita Dasgupta Department of Electrical Engineering Indian Institute of Technology, Madras

Historical Development. Babbage s second computer. Before the digital age

Lecture 4. Oxidation (applies to Si and SiC only) Reading: Chapter 4

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

1. Introduction. What is implantation? Advantages

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

ME 189 Microsystems Design and Manufacture. Chapter 9. Micromanufacturing

CMOS Manufacturing process. Circuit designer. Design rule set. Process engineer. Set of optical masks. Fabrication process.

Lecture Day 2 Deposition

Amorphous Silicon Solar Cells

Surface micromachining and Process flow part 1

National Semiconductor LM2672 Simple Switcher Voltage Regulator

Contents. 1. Introduction to Materials Processing Starting Materials 21. Acknowledgements

CMOS Manufacturing Process

Motorola PC603R Microprocessor

and Technology of Thin Films

Plasma-Enhanced Chemical Vapor Deposition

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

Photolithography I ( Part 2 )

CHAPTER 1 HOW SEMICONDUCTOR CHIPS ARE MADE

p. 57 p. 89 p. 97 p. 119

Semiconductor Technology

Today s agenda (19-JAN-2010)

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Plasma Etching Rates & Gases Gas ratios affects etch rate & etch ratios to resist/substrate

Process steps for Field Emitter devices built on Silicon wafers And 3D Photovoltaics on Silicon wafers

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

Microstructures using RF sputtered PSG film as a sacrificial layer in surface micromachining

Rapid Thermal Processing (RTP) Dr. Lynn Fuller

Process Flow in Cross Sections

Lecture 8. Deposition of dielectrics and metal gate stacks (CVD, ALD)

8. Epitaxy. - Extended single-crystal film formation on top of a crystalline substrate

Schottky-Barrier-Height Modulation of Ni Silicide/Si Contacts by Insertion of Thin Er or Pt Layers

Oxide Growth. 1. Introduction

ME 141B: The MEMS Class Introduction to MEMS and MEMS Design. Sumita Pennathur UCSB

CMOS VLSI Design M.Tech. First semester VTU Anil V. Nandi, ECE department, BVBCET, Hubli

Chapter 2. Density 2.65 g/cm 3 Melting point Young s modulus Tensile strength Thermal conductivity Dielectric constant 3.

Modeling of Local Oxidation Processes

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

ENG/PHYS3320 Microsystems Technology Chapter 2 Fabrication of Microsystems

From microelectronics down to nanotechnology.

Cost of Integrated Circuits

Advanced CMOS Process Technology Part 3 Dr. Lynn Fuller

Applications of High-Performance MEMS Pressure Sensors Based on Dissolved Wafer Process

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

THE IMPACT OF 3D DEVICES ON THE FUTURE OF PROCESS MATERIALS TRENDS & OPPORTUNITIES

Atomic Layer Deposition(ALD)

Surface Micromachining

SEMATECH Symposium Korea 2012 Practical Analysis Techniques of Nanostructured Semiconductors by Electron Microscopy

Lecture 10: MultiUser MEMS Process (MUMPS)

Gaetano L Episcopo. Introduction to MEMS

Rockwell R RF to IF Down Converter

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Some Aspects of Sublimation Growth of SiC Ingots p. 41 Growth of Highly Aluminum-Doped p-type 6H-SiC Single Crystals by the Modified Lely Method

5.8 Diaphragm Uniaxial Optical Accelerometer

NON-PLANAR SILICON OXIDATION: AN EXTENSION OF THE DEAL-GROVE MODEL BRIAN D. LEMME. B.S., University of Nebraska-Lincoln, 2000 A REPORT

Electrical Properties of Ultra Shallow p Junction on n type Si Wafer Using Decaborane Ion Implantation

III-V Integrated Circuit Fabrication Technology

Intel Pentium Processor W/MMX

EPITAXY extended single-crystal film formation on top of a crystalline substrate. Homoepitaxy (Si on Si) Heteroepitaxy (AlAs on GaAs)

enabling tomorrow s technologies CVD Production Systems for Industrial Coatings powered by

Section 4: Thermal Oxidation. Jaeger Chapter 3

200mm Next Generation MEMS Technology update. Florent Ducrot

Etching Etching Definitions Isotropic Etching: same in all direction Anisotropic Etching: direction sensitive Selectivity: etch rate difference

KGC SCIENTIFIC Making of a Chip

Supporting Information

2006 UPDATE METROLOGY

Lecture 6. Through-Wafer Interconnect. Agenda: Through-wafer Interconnect Polymer MEMS. Through-Wafer Interconnect -1. Through-Wafer Interconnect -2

EE-612: Lecture 28: Overview of SOI Technology

How To Write A Flowchart

EE C245 ME C218 Introduction to MEMS Design Fall 2011

Visit

Modules offered by MSE

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

Transcription:

AND FABRICATION ENGINEERING ATTHE MICRO- NANOSCALE Fourth Edition STEPHEN A. CAMPBELL University of Minnesota New York Oxford OXFORD UNIVERSITY PRESS

CONTENTS Preface xiii prrt i OVERVIEW AND MATERIALS CHRPTER 1 1.1 1.2 1.3 1.4 AN INTRODUCTION TO MICROELECTRONIC FABRICATION Microelectronic Technologies: A Simple Example 5 Unit Processes and Technologies 7 A Roadmap Summary 9 for the Course 8 CHRPTER a SEMICONDUCTOR SUBSTRATES 10 2.1 Phase Diagrams and Solid Solubility0 2.2 Crystallography and Crystal Structure0 2.3 Crystal Defects 16 2.4 Czochralski Growth 23 11 15 2.5 Bridgman Growth of GaAs 31 2.6 Float Zone and Other Growth 33 2.7 Wafer Preparation and Specifications 2.8 Summary and Future Trends 36 34 Problems 36 References 38 prrt ii UNIT PROCESSES I: HOT PROCESSING AND ION IMPLANTATION 41 CHRPTER 3 3.1 3.2 3.3 3.4 3.5 DIFFUSION 43 Fick's Diffusion Equation in One Dimension Atomistic Models of Diffusion 45 Analytic Solutions of Fick's Law 50 Diffusion Coefficients for Common Dopants Analysis of Diffused Profiles 57 43 54 This section provides background material. V

* This section contains advanced material and can be omitted without loss of the basic content of the course. CONTENTS 3.6 Diffusion in Si02 64 3.7 Simulations of Diffusion Profiles 66 3.8 Summary 70 Problems 70 References 72 CHRPTER 4 4.1 4.2 4.3 4.4 4.5 4.6 4.7 4.8 4.9 4.10 4.11 THERMAL OXIDATION 75 The Deal-Grove Model of Oxidation 75 The Linear and Parabolic Rate Coefficients 78 The Initial Oxidation Regime 82 The Structure of Si02 85 Oxide Characterization 86 The Effects of Dopants During Oxidation and Polysilicon Oxidation Silicon Oxynitrides 96 Alternative Gate Insulators+ 97 Oxidation Systems 99 Numeric Oxidations+ 101 Summary 102 Problems 104 References 106 93 CHRPTER 5 ION IMPLANTATION 1 1 1 5.1 Idealized Ion Implantation Systems 112 5.2 Coulomb Scattering0 118 5.3 Vertical Projected Range 119 5.4 Channeling and Lateral Projected Range 125 5.5 Implantation Damage 127 5.6 Shallow Junction Formation+ 132 5.7 Buried Dielectrics* 134 5.8 Ion Implantation Systems: Problems and Concerns 136 5.9 Numerical Implanted Profiles* 139 5.10 Summary 139 Problems 140 References 142 CHRPTER B RAPID THERMAL PROCESSING 146 6.1 Gray Body Radiation, Heat Exchange, and Optical Absorption 147 6.2 High Intensity Optical Sources and Chamber Design 150 6.3 Temperature Measurement 153 6.4 Thermoplastic Stress" 157 6.5 Rapid Thermal Activation of Impurities 158 6.6 Rapid Thermal Processing of Dielectrics 161 6.7 Silicidation and Contact Formation 163 6.8 Alternative Rapid Thermal Processing Systems 164 6.9 Summary 165 Problems 166 References 166

Contents vii prrtiii UNIT PROCESSES 2: PATTERN TRANSFER 173 CHHPTER 7 OPTICAL LITHOGRAPHY 175 7.1 7.2 Lithography Overview Diffraction" 180 175 7.3 The Modulation Transfer Function and Optical Exposures 182 7.4 7.5 7.6 7.7 7.8 7.9 7.10 Source Systems and Spatial Coherence Contact/Proximity Printers 190 Projection Printers 194 Advanced Mask Concepts + 201 Surface Reflections and Standing Waves Alignment 206 Summary 207 Problems 208 References 209 185 204 HRPTER B PHOTORESISTS 212 8.1 Photoresist Types 212 8.2 Organic Materials and Polymers0 213 8.3 Typical Reactions of DQN Positive Photoresist 215 8.4 Contrast Curves 217 8.5 The Critical Modulation Transfer Function 220 8.6 Applying and Developing Photoresist 221 8.7 Second-Order Exposure Effects 225 8.8 Advanced Photoresists and Photoresist Processes* 229 8.9 Summary 233 Problems 233 References 235 CHRPTER3 NONOPTICAL LITHOGRAPHIC TECHNIQUES+. 238 9.1 Interactions of High Energy Beams with Matter0 239 9.2 Direct-Write Electron Beam Lithography Systems 241 9.3 Direct-Write Electron Beam Lithography: Summary and Outlook 248 9.4 X-ray and EUV Sources" 249 9.5 Proximity X-ray Exposure Systems 251 9.6 Membrane Masks for Proximity X-ray 253 9.7 EUV Lithography 254 9.8 Projection Electron Beam Lithography (SCALPEL) 256 9.9 E-beam and X-ray Resists 258 9.10 Radiation Damage in MOS Devices 260 9.11 Soft Lithography and Nanoimprint Lithography 262 9.12 Summary 265 Problems 265 References 266

CONTENTS CHRPTER ID 10.1 10.2 10.3 10.4 10.5 10.6 10.7 10.8 VACUUM SCIENCE AND PLASMAS The Kinetic Theory of Gases" 271 Gas Flow and Conductance 274 Pressure Ranges and Vacuum Pumps 277 Vacuum Seals and Pressure Measurement 283 The DC Glow Discharge0 285 RF Discharges 287 High Density Plasmas 289 Summary 292 Problems 293 References 295 271 CHRPTER 11 ETCHING 297 11.1 Wet Etching 298 11.2 Chemical Mechanical Polishing 303 11.3 Basic Regimes of Plasma Etching 306 11.4 High Pressure Plasma Etching 307 11.5 Ion Milling 315 11.6 Reactive Ion Etching 319 11.7 Damage in Reactive Ion Etching"1" 322 11.8 High Density Plasma (HDP) Etching 324 11.9 Liftoff 326 11.10 Summary 328 Problems 328 References 330 prrtiu UNIT PROCESSES 3: THIN FILMS 337 CHRPTER 15 12.1 12.2 12.3 12.4 12.5 12.6 12.7 12.8 12.9 12.10 12.1 1 12.12 12.13 12.14 PHYSICAL DEPOSITION: EVAPORATION AND SPUTTERING Phase Diagrams: Sublimation and Evaporation0 340 Deposition Rates 341 Step Coverage 345 Evaporator Systems: Crucible Heating Techniques 348 Multicomponent Films 350 An Introduction to Sputtering 351 Physics of Sputtering0 352 Deposition Rate: Sputter Yield 354 High Density Plasma Sputtering 357 Morphology and Step Coverage 358 Sputtering Methods 361 Sputtering of Specific Materials 363 Stress in Deposited Layers 366 Summary 367 Problems 368 References 370 339

Contents ix CHRPTER 13 13.1 13.2 13.3 13.4 13.5 13.6 13.7 13.8 13.9 13.10 13.1 1 CHEMICAL VAPOR DEPOSITION 374 A Simple CVD System for the Deposition of Silicon 375 Chemical Equilibrium and the Law of Mass Action" 376 Gas Flow and Boundary Layers0 380 Evaluation of the Simple CVD System 384 Atmospheric CVD of Dielectrics 385 Low Pressure CVD of Dielectrics and Semiconductors in Hot Wall Systems Plasma-enhanced CVD of Dielectrics 392 Metal CVD+ 395 Atomic Layer Deposition 398 Electroplating Copper 401 Summary 403 Problems 403 References 404 387 CHRPTER 14 14.1 14.2 14.3 14.4 14.5 14.6 14.7 14.8 14.9 14.10 14.11 14.12 14.13 14.14 EPITAXIAL GROWTH 410 Wafer Cleaning and Native Oxide Removal The Thermodynamics of Vapor Phase Growth 411 415 Surface Reactions 420 Dopant Incorporation 421 Defects in Epitaxial Growth 422 Selective Growth + 424 Halide Transport GaAs Vapor Phase Epitaxy 425 Incommensurate and Strained Layer Heteroepitaxy Metal Organic Chemical Vapor Deposition (MOCVD) 426 429 Advanced Silicon Vapor Phase Epitaxial Growth Techniques Molecular Beam Epitaxy Technology 438 BCF Theory"1" 443 Gas Source MBE and Chemical Beam Epitaxy+ 448 Summary 449 Problems 449 References 450 435 phht u PROCESS INTEGRATION 457 CHRPTER IS DEVICE ISOLATION, CONTACTS, AND METALLIZATION 459 15.1 Junction and Oxide Isolation 459 15.2 LOCOS Methods 463 15.3 Trench Isolation 465 15.4 Silicon-on-Insulator Isolation Techniques 468 15.5 Semi-insulating Substrates 470 15.6 Schottky Contacts 471 15.7 Implanted Ohmic Contacts 476 15.8 Alloyed Contacts 479 15.9 Multilevel Metallization 481 15.10 Planarization and Advanced Interconnect 486

CONTENTS 15.1 1 Summary Problems References 491 492 493 CHRPTER IB 16.1 16.2 16.3 16.4 16.5 16.6 16.7 16.8 16.9 16.10 16.11 CMOS TECHNOLOGIES 499 Basic Long-Channel Device Behavior 499 Early MOS Technologies 502 The Basic 3-u.m Technology 503 Device Scaling 507 Hot Carrier Effects and Drain Engineering 515 Latchup 518 Shallow Source/Drains and Tailored Channel Doping 521 The Universal Curve and Advanced CMOS 524 A Nanoscale CMOS Process 525 Nonplanar CMOS 527 Summary 529 Problems 529 References 532 CHAPTER 17 OTHER TRANSISTOR TECHNOLOGIES 538 17.1 17.2 17.3 17.4 17.5 17.6 17.7 17.8 17.9 17.10 17.11 17.12 Basic MESFET Operation 538 Basic MESFET Technology 539 Digital Technologies 541 MMIC Technologies 545 MODFETs 547 Review of Bipolar Devices: Ideal and Quasi-ideal Behavior 549 Performance of BJTs 550 Early Bipolar Processes 553 Advanced Bipolar Processes 556 BiCMOS 563 Thin Film Transistors 565 Summary 568 Problems 569 References 572 CHAPTER IB 18.1 18.2 18.3 18.4 18.5 18.6 18.7 18.8 OPTOELECTRONIC AND SOLAR TECHNOLOGIES Optoelectronic Devices Overview 578 Direct-Gap Inorganic LEDs 579 Polymer/Organic Light-Emitting Diodes 583 Lasers 585 Photovoltaic Devices Overview 586 Silicon Based Photovoltaic Device Fabrication 587 Other Photovoltaic Technologies 590 Summary 592 References 592 577 CHRPTER13 MEMS 595 19.1 Fundamentals of Mechanics 596 19.2 Stress in Thin Films 598

Contents xi 19.3 Mechanical-to-Electrical Transduction 599 19.4 Mechanics of Common MEMS Devices 604 19.5 Bulk Micromachining Etching Techniques 608 19.6 Bulk Micromachining Process Flow 616 19.7 Surface Micromachining Basics 620 19.8 Surface Micromachining Process Flow 624 19.9 MEMS Actuators 627 19.10 High Aspect Ratio Microsystems Technology (HARMST) 632 19.11 Microfluidics 634 19.12 Summary 638 Problems 640 References 642 APPENDIX I. ACRONYMS AND COMMON SYMBOLS 647 APPENDIX II. PROPERTIES OF SELECTED SEMICONDUCTOR MATERIALS 653 APPENDIX III. PHYSICAL CONSTANTS 654 APPENDIX IV CONVERSION FACTORS 656 APPENDIX V. SOME PROPERTIES OF THE ERROR FUNCTION 659 Index 663