CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node

Similar documents
Overview of CMP for TSV Applications. Robert L. Rhoades, Ph.D. Presentation for AVS Joint Meeting June 2013 San Jose, CA

TSV Interposer Process Flow with IME 300mm Facilities

Nonplanar Metallization. Planar Metallization. Professor N Cheung, U.C. Berkeley

Enabling Low Defectivity Solutions Through Co- Development of CMP Slurries and Cleaning Solutions for Cobalt Interconnect Applications

200mm Next Generation MEMS Technology update. Florent Ducrot

TSV Processing and Wafer Stacking. Kathy Cook and Maggie Zoberbier, 3D Business Development

SLURRY FORMULATION OPTIONS

3D technologies for integration of MEMS

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Can deposit any material on any substrate (in principal) Start with pumping down to high

Atomic Layer Deposition(ALD)

Development of different copper seed layers with respect to the copper electroplating process

Semiconductor Manufacturing Technology. IC Fabrication Process Overview

Post-CMP Cleaning: Interaction between Particles and Surfaces

Isolation of elements

EE40 Lec 22. IC Fabrication Technology. Prof. Nathan Cheung 11/19/2009

Thin Films: Sputtering Systems (Jaeger Ch 6 & Ruska Ch 7,) Sputtering: gas plasma transfers atoms from target to substrate Can deposit any material

Reliability Challenges for 3D Interconnects:

Interconnects. Outline. Interconnect scaling issues Aluminum technology Copper technology. Properties of Interconnect Materials

New CMP Applications And Opportunities for Improvement. Robert L. Rhoades, Ph.D. Presentation for Levitronix Conference May 2011

ALD and CVD of Copper-Based Metallization for. Microelectronic Fabrication. Department of Chemistry and Chemical Biology

Radiation Tolerant Isolation Technology

Electroless CoWP Boosts Copper Reliability, Device Performance Bill Lee, Blue29, Sunnyvale, Calif. -- 7/1/2004 Semiconductor International

Wafer-level 3D integration technology

Lecture 19 Microfabrication 4/1/03 Prof. Andy Neureuther

Understanding and Reducing Copper Defects

CMP challenges in sub-14nm FinFET and RMG technologies

Evaluation of Copper CMP Process Characterization Wafers

Hot Chips: Stacking Tutorial

Metallization deposition and etching. Material mainly taken from Campbell, UCCS

Thin Wafers Bonding & Processing

Effect of temperature on copper chemical mechanical planarization

New Materials as an enabler for Advanced Chip Manufacturing

Isolation Technology. Dr. Lynn Fuller

Section 4: Thermal Oxidation. Jaeger Chapter 3. EE143 - Ali Javey

Materials Characterization for Stress Management

KGC SCIENTIFIC Making of a Chip

TSV-Based Quartz Crystal Resonator Using 3D Integration and Si Packaging Technologies

Figure 2.3 (cont., p. 60) (e) Block diagram of Pentium 4 processor with 42 million transistors (2000). [Courtesy Intel Corporation.

Interlayer Dielectric (ILD) Cracking Mechanisms and their Effects on Probe Processes. Daniel Stillman, Daniel Fresquez Texas Instruments Inc.

Post CMP Cleaning SPCC2017 March 27, 2017 Jin-Goo Park

VLSI Systems and Computer Architecture Lab

Silicon Wafer Processing PAKAGING AND TEST

EE-612: Lecture 28: Overview of SOI Technology

Chemical Mechanical Planarization STACK TRECK. SPCC 2017 Viorel Balan

Motorola PC603R Microprocessor

2006 UPDATE METROLOGY

Cu Pillar Interconnect and Chip-Package-Interaction (CPI) for Advanced Cu Low K chip

Enabling Thin Wafer Metal to Metal Bonding through Integration of High Temperature Polyimide Adhesives and Effective Copper Surface Cleans

Renesas Electronics, 2 IBM at Albany Nanotech, 3 IBM T. J. Watson Research Center, 4 IBM Microelectronics, and 5 GLOBALFOUNDRIES

Hybrid III-V/Si DFB laser integration on a 200 mm fully CMOS-compatible silicon photonics platform

Metallization. Typical current density ~10 5 A/cm 2 Wires introduce parasitic resistance and capacitance

Investigation of overpotential and seed thickness on damascene copper electroplating

Silicon Interposers with Integrated Passive Devices: Ultra-Miniaturized Solution using 2.5D Packaging Platform

Advanced STI CMP Solutions for New Device Technologies

Alternative Methods of Yttria Deposition For Semiconductor Applications. Rajan Bamola Paul Robinson

Fabrication Techniques for Thin-Film Silicon Layer Transfer

Micro-Electro-Mechanical Systems (MEMS) Fabrication. Special Process Modules for MEMS. Principle of Sensing and Actuation

5.8 Diaphragm Uniaxial Optical Accelerometer

EV Group 300mm Wafer Bonding Technology July 16, 2008

Metallization. Typical current density ~105 A/cm2 Wires introduce parasitic resistance and capacitance

3D Package Technologies Review with Gap Analysis for Mobile Application Requirements. Apr 22, 2014 STATS ChipPAC Japan

3D technologies for More Efficient Product Development

Ultra High Barrier Coatings by PECVD

Lecture 5. SOI Micromachining. SOI MUMPs. SOI Micromachining. Silicon-on-Insulator Microstructures. Agenda:

Hybrid Clean Approach for Post-Copper CMP Defect Reduction

The Search for Negative Impact of 3D Cu TSVs

2015 IEEE. REPRINTED, WITH PERMISSION, FROM Next Generation Metallization Technique for IC Package Application

Hitachi A 64Mbit (8Mb x 8) Dynamic RAM

Novel Materials and Activities for Next Generation Package. Hitachi Chemical., Co.Ltd. Packaging Solution Center Hiroaki Miyajima

VLSI Technology. By: Ajay Kumar Gautam

CMP Process Development Techniques for New Materials. Robert L. Rhoades, Ph.D. ECS 213 th Meeting (Phoenix, AZ) May 19-21, 2008

Manufacturer Part Number. Module 2: CMOS FEOL Analysis

Ajay Kumar Gautam [VLSI TECHNOLOGY] VLSI Technology for 3RD Year ECE/EEE Uttarakhand Technical University

Application of ultra-thin aluminum oxide etch mask made by atomic layer deposition technique

New Applications for CMP: Solving the Technical and Business Challenges. Robert L. Rhoades, Ph.D. NSTI Conference (Houston, TX) May 5, 2009

Filling and Planarizing Deep Trenches with Polymeric Material for Through-Silicon Via Technology

Semiconductor Technology

Cost of Integrated Circuits

Surface micromachining and Process flow part 1

5/3/2010. CMP UG

POST-CMP CLEANING OF HYDROPHILIC AND HYDROPHOBIC FILMS USING AQUEOUS ASSISTED CO 2 CRYOGENIC CLEANING

Device Fabrication: Metallization

R Sensor resistance (Ω) ρ Specific resistivity of bulk Silicon (Ω cm) d Diameter of measuring point (cm)

Hybrid BARC approaches for FEOL and BEOL integration

Section 4: Thermal Oxidation. Jaeger Chapter 3

Lecture 12. Physical Vapor Deposition: Evaporation and Sputtering Reading: Chapter 12. ECE Dr. Alan Doolittle

Intel Pentium Processor W/MMX

Processing and Moisture Effects on TDDB for Cu/ULK BEOL Structures

Supporting Information

Ultra Fine Pitch Bumping Using e-ni/au and Sn Lift-Off Processes

Process Optimization in Post W CMP In-situ Cleaning. Hong Jin Kim, Si-Gyung Ahn, Liqiao Qin CMP, Advanced Module Engineering GLOBALFOUNDRIES, USA

Final Year Project Proposal 1

Cu Wiring Process for TFTs - Improved Hydrogen Plasma Resistance with a New Cu Alloy -

Etching Mask Properties of Diamond-Like Carbon Films

Roll-to-roll Technology for Transparent High Barrier Films

Measurement of thickness of native silicon dioxide with a scanning electron microscope

UTILIZATION OF ATMOSPHERIC PLASMA SURFACE PREPARATION TO IMPROVE COPPER PLATING PROCESSES.

State of the art quality of a GeOx interfacial passivation layer formed on Ge(001)

SUMMiT V Five Level Surface Micromachining Technology Design Manual

Chemical/Mechanical Balance Management through Pad Microstructure in Si CMP

Transcription:

CMP Process Development for the Via- Middle 3D TSV Applications at 28nm Technology Node UMC/ ATD_AM / CMP Department T. C. Tsai, W. C. Tsao, Welch Lin, C. L. Hsu, C. L. Lin, C. M. Hsu, J. F. Lin, C. C. Huang and J. Y. Wu

Introduction 2

Before (CMOS) FEOL 3D-TSV Integration Schemes Via-First Approach IBM, NEC, Elpida, OKI, Tohoku, DALSA. After FEOL (Before BEOL) (Via Middle) Before Bonding (After BEOL) Via-Last Approach UMC, TI, TSMC,IMEC, Global Foundry, Tezzaron, Ziptronix ASE, Infineon, Zycube, IZM, After Bonding Samsung, IBM, MIT LL, RTI, RPI. Source: Yole Development; P. Pangaud, U. de la Méditerranée, CMOS Emerging Technologies Workshop, 2009 3

Via-Middle TSV Process Flow Through Silicon Via RIE Insulator Deposition PASV Barrier/Seed Deposition Via Filling Al-pad (L2) SiO2 (L1) Cu_Mn IMD Cu_M3 Bondable metal Stress-absorbing M2 Mechanically metal M1 strengthened dielectrics Cu/Ta-CMP OX/SiN CMP BEOL q Via-middle between Cont and BEOL 3D-TSV scheme has become the mainstream for IC foundry. 4

Experimental 5

Experimental q 300mm blanket wafers with Si-substrate/ inter-layer dielectric (ILD) oxide layer/ SiN layer were prepared to form via-middle TSV structure wafers. q The 70um deep TSVs with 10um diameter size were constructed with electrochemical deposition (ECD) of Cu film/ PVD Cu seed/ Ta(N) barrier/ SACVD oxide liner. q Two Cu metal layers with 28nm BEOL design rule were stacked on TSV structures to evaluate the process impacts of the intrinsic TSV Cu extrusion on BEOL layers. 6

Schematic of Via-middle TSV Structure Wafers post ECD Process ECD Cu SACVD Oxide Liner SIN CMP Stop Layer ILD Oxide Layer Si Ta(N) Barrier 7

Experimental q The TSV CMP was carried out a rotary type polisher with three polishing platens. q Three different kinds of silica based slurries were utilized to polish off 1). excess copper film on platen one; 2). Ta(N) barrier and oxide liner layers (stop on SiN layer) on platen two; 3). SiN layer (final stop on ILD oxide layer) on platen three. q Varied pre-tsv CMP anneal temperatures (350C~410C) and ECD with different electroplating chemical solutions were investigated to eliminate the formations of the Cu extrusion and voids induced by BEOL thermal budget. 8

Via-Middle TSV-CMP Potential Issues Ti Ta(N) Platen 2 OX/SiN Residue SIN ILD OX Polish Ti(Ta)/OX stop on SiN Ti Ta(N) Platen 1 Cu Residue/ Dishing SIN ILD OX Polish Cu stop on Ti(Ta) Platen 3 ILD range/ Cu recess / Defectivity Ti Ta(N) ILD OX Polish SiN stop on ILD q TSV recess, ILD range, T/P and defectivity are the key issues need to be fixed. 9 Ti Ta(N) Cu SIN ILD OX

Experimental q Cu film thickness before and after TSV CMP was detected by using a KLA RS-100 four point probe. q ILD oxide layer thickness loss and range were measured by KLA F5x thin film measurement system. q The TSV Cu recesses were characterized by using high-resolution atomic force profiler. The TSV structures post deep reactive ion etching (RIE), ECD and CMP processes were determined by using top and cross-sectional viewed SEM micrographs. 10

Results and Discussion 11

Cross-sectional TSV SEM Pictures a). b). c). 12 d). q TSV with aspect ratio (AR) ~7 structures post (a~c) deep reactive ion etch (RIE) and (d) Electrochemical Deposition (ECD) steps.

Cu Peeling/Residue and OX/SiN Dielectric Residue Issues post TSV-CMP Cu Peeling Cu Cu Residue OX/SiN Residue q Cu peeling/residue and OX/SiN dielectric residue found due to unsuitable ECD and TSV CMP processes. 13

Before and after Electrochemical Deposition (ECD) Cu THK Profiles Optimization 35000 TSV Cu Thickness (A) 30000 25000 20000 15000 10000 5000 Before Process T uning During Proce ss T uning Final Proc ess optimizat ion 0-150 -120-90 -60-30 0 30 60 90 120 150 W afer Diam eter from W afer C en ter (m m ) q The range of WiW Cu film thickness can be much improved from ~20000A to 1500A by ECD process optimization. 14

ILD THK Range Control Performance post TSV-CMP Platen Three Polishing Range = 65A Remaining ILD THK (A) 2150 2100 2050 2000 1950 1900 1850 #5 #6 #7 #8 #9 #10 #11 #12 #13 #14 #15 #16 #17 #18 #19 #20 #21 #22 #23 #24 #25 Range = 59A q WtW and WiW ILD THK mapping range control can be <100A as ILD THK loss ~100A post P3 polishing. 15 Wafer No.

TSV Cu Recess Level for Each Polishing Step (TSV Areas Marked by Red Cycles) a ). P re -T S V C M P b ). P o s t P 1 p o lis h R e c e s s : ~ 5 2 0 0 A c ). P o s t P 2 p o lis h R e c e s s : ~ 3 3 0 A d ). P o s t P 3 p o lis h R e c e s s : ~ 2 1 0 A R e c e s s : ~ 1 1 0 A q The TSV Cu recess can be continuously reduced form around 330A to 110A as polishing through platen 1 to platen 3 TSV-CMP process. 16

Cu Extrusion Found post TSV CMP Cap and Metal 3 Cap Layer Depositions a). ~560A Cu Cu extrusion (Pre- (350C 350C x 10x 10min. anneal) Anneal) ~60A Cu Cu extrusion (Pre- (400C 400C x 10x 10min. anneal) Anneal) Post Post Metal Metal 33 Cap Cap 95A 95A Cu Cu Extrusion q Severe Cu extrusion was easily found post TSV CMP cap and even post metal 3 cap layer deposition. 17

Cu Extrusion Induced Metal/ULK Thinning and BEOL Peeling with Cu Voids a). TSV Si b). M1/ V1/ M1/ ULK/ V1/ ULK/ M2 THK M2 Thinning ULK TSV TSV Cu Cu Extrusion M2 M1 M2 M1 V1 M1 M2 c). q Metal /ULK thinning were found due to Cu extrusion for pre anneal condition. - TSVCMP q Cu extrusion of TSV is indeed a potential concern to result in metal and ultra-low k (ULK) film thinning and delamination or damage of the BEOL. 18

Effects of pre-tsv CMP Anneal Condition on Cu Extrusion and Void Formation W/O Anneal No Anneal 350C x 20 410C x 20 (Post CMP) (Post CMP) (Post CMP) f). 350C x 10 (Post CMP) 400C x 10 (Post CMP) 19 350C x 10 (Post Cap) q Increasing pre-tsvcmp anneal temp. could reduce Cu extrusion, but induce Cu voids issue.

Effects of Different ECD Chemical Solutions on TSV Cu Voids (400Cx10 min. Anneal) Chemical Solution 1 Chemical Solution 2 Normalized Impurity Concentration 20 1.0 0.8 0.6 0.4 0.2 0 Chemical Solution 1 Chemical Solution 2 C Cl O N S Impurities of the Cu ECD Chemical Solutions q TSV Cu voids can be eliminated by reducing the impurities of the Cu electrochemical deposition (ECD) chemical solutions.

Conclusions 21

Conclusions q Via-middle between Cont and BEOL 3D-TSV scheme has become the mainstream for IC foundry. q WiW Cu film thickness range can be much improved from ~20000A to 1500A by ECD process optimization. q WtW and WiW ILD THK mapping range control can be <100A as ILD THK loss ~100A post P3 polishing. q TSV Cu recess can be continuously reduced from around 330A to 110A as polishing through platen 1 to platen 3 TSV-CMP process. 22

Conclusions q Severe Cu extrusion was easily found post TSV CMP cap and even post metal 3 cap layer deposition. q Cu extrusion of TSV is indeed a potential concern to result in metal and ultra-low k (ULK) film thinning and delamination or damage of the BEOL. q Increasing pre-tsvcmp anneal temperature could reduce Cu extrusion, but induce Cu voids issue. q TSV Cu voids can be eliminated by reducing the impurities of the Cu electrochemical deposition (ECD) chemical solutions. 23

Thank You! 24